当前位置:文档之家› 路数字抢答器课程设计报告

路数字抢答器课程设计报告

路数字抢答器课程设计报告
路数字抢答器课程设计报告

学号:

课程设计

题目八路数字抢答器

学院信息工程学院

专业通信工程

班级通信0803

姓名David

指导教师付琴

2010年 7月 9日

课程设计任务书

学生姓名: David 专业班级:通信0803 指导教师:付琴工作单位:信息工程学院

题目:八路数字抢答器

起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。

要求完成的主要任务:

1.运用数字电路设计一个能够满足特定要求的八路抢答器

2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。

时间安排:

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

课程设计任务书 (2)

摘要 (4)

Abstract (5)

一、实验目的 (6)

二、设计要求与内容 (6)

三、设计及原理 (7)

3.1 总体方案设计 (7)

3.1.1 设计思路 (7)

3.1.2 总电路框图 (7)

3.2 各模块设计方案及原理说明 (8)

3.2.1 抢答电路 (8)

3.2.2 倒计时电路 (12)

四、电路仿真 (13)

4.1 抢答电路 (13)

4.2 倒计时电路 (15)

五、实验结果及分析 (17)

六、收获、体会和建议 (19)

附录 (21)

1.总电路图 (21)

2. 元件引脚图 (22)

3.元器件清单 (24)

主要参考文献 (25)

摘要

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。

关键词: 电子设计自动化;数字电子技术;抢答器;仿真

Abstract

Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

Key words: EDA; digital electronic technology; Responder; Simulation

八路数字抢答器

一、实验目的

通过八路数字抢答器的设计实验,要求学生回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。

二、设计要求与内容

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光或音响等多种手段指示出第一抢答者。

(1)设计制作一个可容纳8组参赛的数字式抢答器,每组设置一个抢答按钮供抢答者使用。

(2)电路具有第一抢答信号的鉴别和锁存功能。在主持人系统发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别,同时指示灯(发光二极管)亮。此时,电路应具备自锁存功能,使别组的抢答开关不起作用。

(3)若超时仍无人抢答,则报警指示灯熄灭。

三、设计及原理

3.1 总体方案设计

3.1.1 设计思路

①本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

②当电路形成第一抢答信号之后,用编码、译码及数码显示电路显示出抢答者的组别,也可以用发光二极管直接指示出组别。

③在主持人没有按下开始抢答按钮前,参赛者的抢答开关无效;当主持人按下开始抢答按钮后,开始进行30秒倒计时,此时,若有组别抢答,显示该组别并使抢答指示灯亮表示“已有人抢答”;当计时时间到,仍无组别抢答,则计时指示灯灭表示“时间已到”,主持人清零后开始新一轮抢答。、

3.1.2 总电路框图

图 3-1总电路框图

3.2 各模块设计方案及原理说明

3.2.1 抢答电路

此部分电路主要完成的功能是实现8路选手抢答并进行锁存,同时有相应发光二极管点亮和数码显示。

使用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S 置于"清除"端时,RS 触发器的 R、S 端均为0,4 个触发器输出置0,使74LS148 的优先编码工作标志端= 0,使之处于工作状态。当开关S 置于"开始"时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148 的输出经RS 锁存后,CTR=1,RBO =1, 七段显示电路74LS48 处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR =1,使74LS148 优先编码工作标志端=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148 的此时由于仍为CTR=1,使优先编码工作标志端=1, 5 所以74LS148 仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。只要有一组选手先按下抢答器,就会将编码器锁死,不再对其他组进行编码。通过74LS48译码器使抢答组别数字显示0-7。如有再次抢答需由主持人将S 开关重新置“清除”然后再进行下一轮抢答。

原理图如下:

图 3-2 抢答模块原理图

RS触发器:

1.保持状态。当输入端接入S=R=1的电平时,如果基本SR触发器现态Q=1、Q=0,则触发器次态Q=1、Q=0;若基本SR触发器的现态Q=0、Q=1,则触发器次态Q=0、Q=1。即S=R=1时,触发器保持原状态不变。

2.置0状态。当S=1,R=0时,如果基本SR触发器现态为Q=1、Q=0,因R=0,会使Q=1,而Q=1与S=1共同作用使Q端翻转为0;如果基本SR触发器现态为Q=0、Q=1,同理会使Q=0,Q=1。只要输入信号S=1,R=0,无论基本SR触发器的输出现态如何,均会使输出次态置为0态。

3.置1状态。当S=0、R=1时,如果触发器现态为Q=0、Q=1,因S=0,

的输出端次态翻转为1,而Q=1和R=1共同使G2的输出端Q=0;同理当会使G

1

Q=1、Q=0,也会使触发器的次态输出为Q=1、Q=0;只要S=0、R=1,无论触

发器现态如何,均会将触发器置1。

4. 不定状态。当S =R =0时,无论触发器的原状态如何,均会使Q =1,Q =1。当脉冲去掉后,S 和R 同时恢复高电平后,触发器的新状态要看G 1 和G 2两个门翻转速度快慢,所以称S =R =0是不定状态,在实际电路中要避免此状态出现。基本RS 触发器的逻辑图、逻辑符号和波形图如图1-7所示。

(a )逻辑图 (b) 逻辑符号 (c) 波形图

图 3-3 基本SR 触发器

表 3-1 74LS148真值表

4LS148的输入端和输出端低电平有效。0I ~7I 是输入信号,2Y ~0Y 为三位二进制编码输出信号,S I =1时,编码器禁止编码,当S I =0时,允许编码。S Y 是技能输出端,只有在S I =0,而0I ~7I 均无编码输入信号时为0。EX Y 为优先编码输出端,在S I =0而0I ~7I 的其中之一有信号时,EX Y =0。0I ~7I 各输入端的优先顺序为:7I 级别最高,0I 级别最低。如果7I =0(有信号),则其它输

G 1 G 2

R

S

Q

置0

置1

保持

入端即使有输入信号,均不起作用,此时输出只按7I 编码,2Y1Y0Y=000。优先编码被广泛用于计算机控制系统中,当有多个外设申请中断时,优先编码器总是给优先级别高的设备先编码。

图 3-4 译码显示电路

二进制译码器是将输入的二进制代码的各种状态按特定含义翻译成对应输出信号的电路。也称为变量译码器。若输入端有n位,代码组合就有2n个,当然可译出2n个输出信号。

显示译码器由译码输出和显示器配合使用,最常用的是BCD七段译码器。其输出是驱动七段字形的七个信号,常见产品型号有74LS48、74LS47等。

字符显示器:分段式显示是将字符由分布在同一平面上的若干段发光笔划组成。电子计算器,数字万用表等显示器都是显示分段式数字。而LED数码显示器是最常见的。通常有红、绿、黄等颜色。LED的死区电压较高,工作电压大约1.5~3V,驱动电流为几十毫安。图1-3是七段LED数码管的引线图和显示数字情况。74LS47译码驱动器输出是低电平有效,所以配接的数码管须采用共阳极接法;而74LS48译码驱动器输出是高电平有效,所以,配接的数码管须采用共阴极接法。数码管常用型号有BS201、BS202等。图1-4(a)是共阴式LED数码管的原理图,使用时,公阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动。

3.2.2 倒计时电路

原理图如下:

图 3-5 倒计时模块原理图

该部分主要由555 定时器秒脉冲产生电路、十进制同步加减计数器74LS192 减法计数电路、74LS48 译码电路和 2 个 7 段数码管即相关电路组成。完成的功能是当主持人按下开始抢答按钮后,进行30s倒计时,到0s时倒计时指示灯亮。当有人抢答时,计时停止。两块74LS192 实现减法计数,通过译码电路74LS48 显示到数码管上,其时钟信号由时钟产生电路提供。74LS192 的预置数控制端实现预置数30s,计数器的时钟脉冲由秒脉冲电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,

输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。

图 3-6 555定时器连接图

由555定时器和三极管构成报警电路。其中555构成多谐振荡器,振荡频率fo= 1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

控制输入信号为经过编码的抢答选手的信号,当有人在有效时间内抢答时,定时时间到时无人抢答时,输入信号为高电平,报警电路发出报警信号;反之,输入信号为低电平时,报警器不工作。

将连接好的555定时器的输出端接在示波器上,呈现如下符合要求的方波。

图3 -7 555定时器输出波形

四、电路仿真

4.1 抢答电路

第2路抢答器抢答后的显示,同时发光二极管指示灯发光,如下图:

图 4-1 抢答电路仿真

CTR端的示波器波形:

如图,拨动开关后,CTR上跳,有人抢答时,CTR下跳

图 4-2 CTR端仿真曲线

4.2 倒计时电路

倒计时开始后开始计数,同时倒计时指示灯亮直到倒数到0秒熄灭,如下图:

图 4-3 倒计时电路仿真

在BO1和BO2端放置示波器,波形如图:

计时器个位减到0时,BO1出现一个下跳;计时器十位减到0时,BO2出现一个下跳。

图 4-4 BO1端仿真曲线

图 4-5 BO2端仿真曲线

五、实验结果及分析

接通电源,开关打到预置端,倒计时数码管显示30。主持人拨动开关后,倒计时电路开始从30秒倒数,8路触点开关处于待命状态。

图 5-1 倒计时状态

若有选手按下所在开关(抢答),抢答电路显示选手所在编码(0~7),倒计时电路停止计时。同时其他选手所在的开关被锁定,抢答无效。

图 5-2 抢答显示

如果30秒倒计时结束后

仍无人抢答,LED灯熄灭,倒

计时电路锁定为00,抢答不再

有效。

这时只需主持人重新将开

关打到预置段,整个电路全部

回到初态。准备进行下一轮抢

答。

图 5-5 计时锁定

六、收获、体会和建议

这次课程设计的电路是比较复杂的。虽然以前在模电、Protel课程设计中接触过一些电子电路,但在初期还是感到无从下手。这是我第一次接触multisim软件,感觉它比Protel更灵活易用,熟练以后十分顺手。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设计上,在多种方案的选择中,我们仔细比较分析其原理以及可行的原因,最后还是在通多次对电路的改进,上机仿真以及接线调试,终于使整个电路可稳定工作。设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。

设计单元电路阶段,这个阶段可以说是考察数电书本知识的阶段。所有的设计方法还有步骤在数电书上都有,而且还有例题。这个阶段遇到的主要问题就是以前的知识忘记不少,所以做设计的时候要常随手翻阅课本,等于是做了几道数电作业题。这个阶段的难度也不是很大,一般翻课本就可以找到答案并解决问题。

实验阶段可以说是这次设计中最重要的部分,因为以前的只是理论而不是真正的实体。所以说它是最重要的。实验阶段我们遇到的问题有:对软件不熟悉;对实验过程中信号的测量知识学习很少;因为各个模块是分开做而后又组装到一起的,所以兼容性不是很好(也就是不能融合为一个整体,部分工作能行但是接到一起就会出现问题);针对以上几个问题我们作出了以下的“对策”:软件不熟悉,就借来参考书,一步一步的对着学,而且老师给的资料上也有软件的使用说明,所以随着接触的增加软件也就越来越熟悉,这方面的问题不是太难因为一边理论一边学习正好是学习的好方法,而且也学的特别快。

制作过程是一个考验人耐心的过程,不能有丝毫的急躁,电路的焊接要一步一步来,焊点多,走线复杂。这又要我们要灵活处理,一边操作一边构思,在不影响试验的前提下加快进度。

另外就是要熟练地掌握课本上的知识,这样才能对试验中出现的问题进行分析解决。这是应用课本知识的大好时机。

总之,通过这次练习我有了很多收获。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增强了动手能力。在改进电路的过程中,同学们共同探讨,最后的电路已经比初期设计有了很大提高。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐。

电路的改进方案

在计时电路中加入运放和蜂鸣器运放外接12V电源并单独接地,抢答时间结束时可以发出“滴……”的声音表示报警。

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

三路抢答器设计

数字电路课程设计 一、设计任务和要求: 1. 抢答器同时供3名选手抢答,分别用3个按钮D1、D2、D3表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数 码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人 将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为8秒。当主持人启动"开始"键后, 定时器进行计时(0-7)。 5.主持人没有闭合开关之前,有人抢答,数码管显示对应的选手编号,且红灯亮,当 所有选手闭合自己对应的开关时,编号数码管清为0。 6. 参赛选手在设定的时间内进行抢答,抢答有效,计数器停止工作,显示器上显示 选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。 7. 如果定时时间已到,无人抢答,本次抢答无效,定时显示器上显示8,且黄灯亮以 示警告时间已到,若有人抢答,显示抢答人组号并锁存,直到主持人将系统清零, 即黄灯灭,两个数码管显示都为0。

二、 实验框图: 1、设计方案 : 抢答器具有锁存、定时、显示功能。抢答之前,两个数码管显示为0,即主持人没有按下开始按钮之前,有人抢答,亮红灯给以警告,数码管显示对应的选手编号,当选手闭合自己的开关时,对应数码管显示为0;当主持人按下开始按钮后,进行抢答,若有人抢答,锁存器锁存选手号和抢答时的时间,同时亮绿灯,直到主持人清零,系统才能再次正常工作;如果时间已到,没有人回答,黄灯亮,即在8秒的时刻,如果有选手抢答,锁存器锁存选手号,数码管并将选手号显示出来,直到主持人清零。 2、系统框图 : 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行计时,并通过译码器在数码管中显示。当某选手按开关键时,通过控制电路控制锁存器的使能端,并在锁存器中锁存,在输出端产生相应的开关电平信息,然后在译码器中译码,将编码器输出的8421BCD 转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。 161全加器 抢答按钮 75锁存器 48译码器 显示译码 555秒脉冲产生器器 48译码器 显示译码 控制电路 主持人开关 报警灯

六路抢答器电路设计教案

《数字电子技术》 项目二设计与制作六路抢答器电路任务三六路抢答器电路制作与调试 教 案 名称: D触发器的应用 课程:数字电子技术 专业:应用电子技术 课时: 6课时 任务三六路抢答器电路制作与调试本次任务,是针对D触发器的应用,完成六路抢答器电路的制作和调试。

【设计思想】 本节课以项目任务为载体,以学生自主学习为中心,通过小组合作的组织形式,为学生营造一个自主学习的环境。充分利用多媒体教学手段结合项目设计,步步设疑、环环相扣、贯通主线,引导学生自主探究,通过学生动手实践,电路调试来发现问题、探究问题、解决问题,以提高实践、交流、协作等各方面的能力,为将来成为高技能型人才做好铺垫。 【教学内容】 课程标准要求能根据具体的工作任务,完成简单数字电路的设计。该课题理论知识来源于由高等教育出版社出版,阎石老师主编的《数字电子技术基础》中第三章第3节。对于职业学校的学生,重点是培养解决实际问题的能力,学以致用。因此,参考课程标准采用项目教学,设计了项目二:设计与制作六路抢答器电路来完成这次的教学任务。 本节是时序逻辑电路的基础内容,为今后学习时序逻辑电路奠定理论基础,具有重要的理论指导作用。通过本课的学习,让学生明确数字电路的制作和调试方法,体会到所学知识点相互之间的联系及在实际中的应用,因此占有非常重要的地位。 这部分知识也是数字电路入门的门槛之一,更是将来从事EDA行业的启蒙知识,因此,要求学生有较强的分析能力和综合能力。 本项目共有三个任务: 1. 完成基本D触发器的功能测试; 2. 用集成D触发器设计电路原理图; 3. 根据电路原理图完成六路抢答器的制作与调试。 这次课主要针对最后一个任务:六路抢答器的制作与调试进行教学设计。【教学目标】 知识目标: 1.掌握D触发器的基本逻辑功能。 2.理解集成D触发器的工作原理。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

8路抢答器基于fpga解析

基于FPGA的多路数字抢答器的设计 摘要:本文主要介绍了以FPGA为基础的八路数字抢答器的设计,首先对各模块的功能进行分配,此次设计主要有七个模块,依次为分频模块、抢答模块、加减分模块、倒计时模块、设置倒计时模块、蜂鸣器模块和数字显示模块。主持人按下开始键可以实现抢答开始,选手号的显示,加减分模块,积分的显示,积分的重置,并启动倒计时模块;若有选手犯规或者倒计时记到五秒,停止倒计时,开启蜂鸣器,并为进入加减分模块做准备。此次设计程序用Quartus II12.0为软件开发平台,用Verilog语言来编写,使用模块化编程思想,自上向下,通过寄存器变量来控制各个模块的运行。本次设计采用FPGA来增强时序的灵活性,由于FPGA的I/O端口资源丰富,可以在此基础上稍加修改可以增加很多其他功能的抢答器,因此后期可塑性很强,因为核心是FPGA芯片,外围电路比较简单,可靠性强、运算速度高,因此便于维护,并且维护费用低。 关键词:FPGA、抢答器、倒计时、犯规报警、加减分、显示 目录 第一章绪论................. . (2) 第二章 FPGA原理及相关开发工具软件的介绍 (3) 2.1 FPGA的简介..... . (3) 2.1.1 FPGA的发展与趋势......... .. (3) 2.1.2 FPGA的工作原理及基本特点 (4) 2.1.3 FPGA的开发流程 (5) 2.1.4 FPGA的配置... . (5) 2.2 软件介绍............... .. (6) 2.2.1 Verilog HDL的介绍........... .. (6)

2.2.2 Quartus II软件.................... .. (7) 第三章数字抢答器系统设计方案和主要模块 (8) 3.1 功能描述及设计架构...... .. (8) 3.2 抢答器程序流程图以及各模块代码分析 (10) 3.2.1 抢答器程序结构及主程序流程图 (10) 3.2.2 秒分频模块 (15) 3.2.3 倒计时以及倒计时剩5S时报警模块...... 错误!未定义书签。 3.2.4 倒计时显示及倒数计时设置显示模块 (20) 3.2.5 选手号显示及违规报警模块 (26) 3.2.6倒计时设置模块 (30) 3.2.7顶层模块 (35) 3.3 硬件电路 (37) 3.3.1 按键电路图 (38) 3.3.2 数码管显示电路图 (38) 3.3.2 蜂鸣器电路图 (39) 第四章管脚分配及功能 (40) 第五章总结 (41) 参考文献 (418) 第一章绪论 1.1 课题研究背景 随着社会的发展,各种竞赛比赛日益增多,抢答器以它的方便快捷、直观反映首先取得发言权的选手等优点,深受比赛各方的辛睐,市场前景一片大好。另一方面随着电子科技的发展,抢答器的功能以及实现方式也越来越多,产品的可靠性以及准确性也越来越强。能够实现多路抢答器功能的方式有很多种,主要包括前期的数字电路、模拟电路以及数字电路与模拟电路组合的方式,但是这种方

三路抢答器课程设计

设计题目 三路抢答器的PLC控制 1 主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 2 具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 3 进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 4 完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6 总评成绩 指导教师签名日期年月日系主任审核日期年月日 目录 绪论 (3) 第一章系统设计内容及要求 (1) 第二章硬件设计 (3) 2.1硬件选取 (3) 2.1.1 三菱PLC可编程控制器 (3) 2.1.2 按钮板块 (3)

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

单片机实验8路抢答器C语言版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

八路数字显示抢答器的设计要点

目录 1实习目的与要求 (2) 2实习内容 (3) 2.1电路设计……………….……………………………………………………. .3 2.1.1抢答电路设计 (3) 2.1.2定时电路设计 (4) 2.1.3报警电路设计 (5) 2.1.4时序控制电路设计 (6) 2.2整体电路设计 (6) 2.3 电路的仿真 (6) 2.3.1 抢答电路的仿真 (7) 2.3.2定时电路的仿真 (8) 2.3.3脉冲发生电路的仿真 (9) 2.3.4报警电路的仿真 (11) 3心得体会 (11) 参考文献 (12) 附录 (13) 附录A 整体仿真图 (13) 附录B 整体图 (13)

1实习目的要求 实习内容 本次实习的内容是独立完成一个八路数显抢答器的设计,采用电路仿真设计软件完成竞赛抢答器电路的设计及仿真调试,在微机上仿真实现数字式竞赛抢答器的设计。 实习具体内容为:比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。同时还应设计记分、犯规和奖惩记录等多种功能。 设计要求: 1、基本部分 (1) 抢答器可供八组使用,组别键(信)号可以锁存;抢答指示用发光二极管(LED)。 (2) 记分部分独立(不受组别信号控制),至少用2位二组数码管指示,步 进有10分、5分两种选择,并且具有预置、递增、递减功能。 (3) 要求性能可靠、操作简便。 2、发挥部分(选做) 数字智力竞赛抢答器(自动记分)原理框图

(1) 增加抢答路数,数码管显示其组别键(信)号。 (2) 自动记分(受组别信号控制):当主持人分别按步进得分键、递增键或递减键后能够将分值自动累计在某组记分器上)。 (3) 超时报警。 实习任务要求 1、画出总体设计框图,以说明数字式竞赛抢答器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在仿真软件上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 2实习内容 2.1电路设计 2.1.1 抢答电路设计 如图1所示为抢答电路图。电路选用优先编码器 74LS148 和锁存器74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"

三路抢答器的设计与仿真

《基础强化训练》报告书 题目:三路抢答器 专业班级:电子0903 学生姓名: 指导教师: 武汉理工大学信息工程学院 2011 年7 月8 日

基础强化训练任务书 学生姓名:专业班级: 指导教师:工作单位:武汉理工大学 题目:三路抢答器的PCB板设计 一、训练目的 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 二、训练内容和要求 1、基础课程和基本技能强化训练 (1)设计一个三路抢答器电路; (2)对所设计电路的基本原理进行分析; 2、文献检索与利用、论文撰写规范强化训练 要求学生掌握基本的文献检索方法,科学查找和利用文献资料,同时要求学生获得正确地撰写论文的基本能力,其中包括基本格式、基本排版技巧和文献参考资料的写法、公式编排、图表规范制作、中英文摘要的写法等训练。 3、基本动手能力和知识应用能力强化训练 (1)学习PROTEL软件; (2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 三、初始条件 计算机;Microsoft Office Word 软件;PROTEL软件 四、时间安排 1、2011年7 月11日集中,作基础强化训练具体实施计划与报告格式要求的说明; 学生查阅相关资料,学习电路的工作原理。 2、2011 年7 月11 日,电路设计与分析。 3、2011 年7 月12日至2011 年7 月14日,相关电路原理图和PCB版图的绘制。 4、2011年7 月15日上交基础强化训练成果及报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

六路抢答器课程设计

湖南大学课程设计报告 课程名称:电子技术课程设计 系部:电气工程系 专业班级:电子科学技术 学生姓名: 指导教师: 完成时间: 2011.06.19 报告成绩:

目录 摘要 3 第一章、设计题目 4 第二章、设计目的 4 第三章、设计要求 4 3.1设计指标 4 3.2设计要求 4 第四章、设计方案与论证 5 第五章、系统具体电路设计及原理 5 5.1抢答器电路的设计 5 5.2定时电路的设计 5 5.3报警电路的设计 (6) 5.4时序控制电路的设计 (6) 第六章、主要元器件介绍 (7) 6.1 74LS48 和74LS192的功能表 (8) 6.2 74LS148 (9) 6.3 74LS279 (10) 6.4 74LS121 (11) 6.5NE555 (11) 第七章、设计采用元件 (13) 第八章、电路设计仿真 (13) 第九章、实验心得 (15) 第十章、参考文献 (16)

摘要 本设计的抢答器是一种比较简易的抢答器,没有使用特别多的复杂的元器件。结合上机动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要完成:设计一个六路抢答器,实现开始一定时间后,开始抢答状态,可以判定是哪个信号抢答的,同时封锁其他信号,如果过了抢答时间,仍然没有抢答或者出现抢答者同时抢答时,那么就报警。这个抢答器设计基本上满足了实际竞赛应用中的各种需要。在实际中有很大的用途。 无论是在学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有各种各样的智力竞赛抢答器,绝大多数是以模拟电路、数字电路或者模拟电路与数字电路相结合的产品。这部分抢答器已相当成熟,但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单。 数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路.经过布线,焊接,调试等工作后数字抢答器成型. 抢答器四周有安装孔,可以方便的安装在操作台上,外接抢答按钮接入相应的接线端子,如果需要外接电铃或指示灯,则接入继电器端子,安装完毕后就可以上电了,抢答器的电流输入为5V直流输入. 抢答器通上电后,蜂鸣器响,三个数码管都显示0,按下复位按钮后进入正常工作状态,这时可以设定抢答倒计时间,只要按动10进制编码按钮分别对时间的十位和个位设定,设定的时间在数码管上实时的显示出来.设定的时间范围为:0~30秒,设定完时间后,就可以按动开始按钮,表示抢答开始,这时蜂鸣器响0.1秒,提示各位选手,抢答已经开始,同时倒计时器开始从设定的时间进行倒计时. 若在抢答时间内有人抢答,则第三个数码管立即显示抢答位号,倒计时间停止倒计时,所用掉的时间就是抢答的时间,同时蜂鸣器响2秒,继电器吸合2秒,表示有人抢答,在这个按键之后按下的按键除了复位键外,其他按键均无效,只有主持人按下复位键后,可以进入下一轮抢答.

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

相关主题
文本预览
相关文档 最新文档