当前位置:文档之家› 几个硬件设计经验

几个硬件设计经验

几个硬件设计经验
几个硬件设计经验

鸡毛蒜皮之一:成本节约

现象一:这些拉高/拉低的电阻用多大的阻值关系不大,就选个整数5K 吧

点评:市场上不存在5K的阻值,最接近的是4.99K(精度1%),其次是5.1K(精度5%),其成本分别比精度为20%的4.7K高4倍和2倍。20%精度的电阻阻值只有1、1.5、2.2、3.3、4.7、6.8几个类别(含10的整数倍);类似地,20%精度的电容也只有以上几种值,如果选了其它的值就必须使用更高的精度,成本就翻了几倍,却不能带来任何好处。

现象二:面板上的指示灯选什么颜色呢?我觉得蓝色比较特别,就选它吧

点评:其它红绿黄橙等颜色的不管大小(5MM以下)封装如何,都已成熟了几十年,价格一般都在5毛钱以下,而蓝色却是近三四年才发明的东西,技术成熟度和供货稳定度都较差,价格却要贵四五倍。目前蓝色指示灯只用在不能用其它颜色替代的场合,如显示视频信号等。

现象三:这点逻辑用74XX的门电路搭也行,但太土,还是用CPLD吧,显得高档多了

点评:74XX的门电路只几毛钱,而CPLD至少也得几十块,(GAL/PAL虽然只几块钱,但公司不推荐使用)。成本提高了N倍不说,还给生产、文档等工作增添数倍的工作。

现象四:我们的系统要求这么高,包括MEM、CPU、FPGA等所有的芯片都要选最快的

点评:在一个高速系统中并不是每一部分都工作在高速状态,而器件速度每提高一个等级,价格差不多要翻倍,另外还给信号完整性问题带来极大的负面影响。

现象五:这板子的PCB设计要求不高,就用细一点的线,自动布吧

点评:自动布线必然要占用更大的PCB面积,同时产生比手动布线多好多倍的过孔,在批量很大的产品中,PCB厂家降价所考虑的因素除了商务因素外,就是线宽和过孔数量,它们分别影响到PCB的成品率和钻头的消耗数量,节约了供应商的成本,也就给降价找到了理由。

现象六:程序只要稳定就可以了,代码长一点,效率低一点不是关键点评:CPU的速度和存储器的空间都是用钱买来的,如果写代码时多花几天时间提高一下程序效率,那么从降低CPU主频和减少存储器容量所节约的成本绝对是划算的。CPLD/FPGA设计也类似。

鸡毛蒜皮之二:低功耗设计

现象一:我们这系统是220V供电,就不用在乎功耗问题了

点评:低功耗设计并不仅仅是为了省电,更多的好处在于降低了电源模块及散热系统的成本、由于电流的减小也减少了电磁辐射和热噪声的干

扰。随着设备温度的降低,器件寿命则相应延长(半导体器件的工作温度每提高10度,寿命则缩短一半)

现象二:这些总线信号都用电阻拉一下,感觉放心些

点评:信号需要上下拉的原因很多,但也不是个个都要拉。上下拉电阻拉一个单纯的输入信号,电流也就几十微安以下,但拉一个被驱动了的信号,其电流将达毫安级,现在的系统常常是地址数据各32位,可能还有244/245隔离后的总线及其它信号,都上拉的话,几瓦的功耗就耗在这些电阻上了(不要用8毛钱一度电的观念来对待这几瓦的功耗)。

现象三:CPU和FPGA的这些不用的I/O口怎么处理呢?先让它空着吧,以后再说

点评:不用的I/O口如果悬空的话,受外界的一点点干扰就可能成为反复振荡的输入信号了,而MOS器件的功耗基本取决于门电路的翻转次数。如果把它上拉的话,每个引脚也会有微安级的电流,所以最好的办法是设成输出(当然外面不能接其它有驱动的信号)

现象四:这款FPGA还剩这么多门用不完,可尽情发挥吧

点评:FGPA的功耗与被使用的触发器数量及其翻转次数成正比,所以同一型号的FPGA在不同电路不同时刻的功耗可能相差100倍。尽量减少高速翻转的触发器数量是降低FPGA功耗的根本方法。

现象五:这些小芯片的功耗都很低,不用考虑

点评:对于内部不太复杂的芯片功耗是很难确定的,它主要由引脚上的电流确定,一个ABT16244,没有负载的话耗电大概不到1毫安,但它的指标是每个脚可驱动60毫安的负载(如匹配几十欧姆的电阻),即满负荷的功耗最大可达60*16=960mA,当然只是电源电流这么大,热量都落到负载身上了。

现象六:存储器有这么多控制信号,我这块板子只需要用OE和WE信号就可以了,片选就接地吧,这样读操作时数据出来得快多了。

点评:大部分存储器的功耗在片选有效时(不论OE和WE如何)将比片选无效时大100倍以上,所以应尽可能使用CS来控制芯片,并且在满足其它要求的情况下尽可能缩短片选脉冲的宽度。

现象七:这些信号怎么都有过冲啊?只要匹配得好,就可消除了

点评:除了少数特定信号外(如100BASE-T、CML),都是有过冲的,只要不是很大,并不一定都需要匹配,即使匹配也并非要匹配得最好。象TTL的输出阻抗不到50欧姆,有的甚至20欧姆,如果也用这么大的匹配电阻的话,那电流就非常大了,功耗是无法接受的,另外信号幅度也将小得不能用,再说一般信号在输出高电平和输出低电平时的输出阻抗并不相同,也没办法做到完全匹配。所以对TTL、LVDS、422等信号的匹配只要做到过冲可以接受即可。

现象八:降低功耗都是硬件人员的事,与软件没关系

点评:硬件只是搭个舞台,唱戏的却是软件,总线上几乎每一个芯片的访问、每一个信号的翻转差不多都由软件控制的,如果软件能减少外存的访问次数(多使用寄存器变量、多使用内部CACHE等)、及时响应中断(中断往往是低电平有效并带有上拉电阻)及其它争对具体单板的特定措施都将对降低功耗作出很大的贡献。

鸡毛蒜皮之三:系统效率

现象一:这主频100M的CPU只能处理70%,换200M主频的就没事了

点评:系统的处理能力牵涉到多种多样的因素,在通信业务中其瓶颈一般都在存储器上,CPU再快,外部访问快不起来也是徒劳。

现象二:CPU用大一点的CACHE,就应该快了

点评:CACHE的增大,并不一定就导致系统性能的提高,在某些情况下关闭CACHE反而比使用CACHE还快。原因是搬到CACHE中的数据必须得到多次重复使用才会提高系统效率。所以在通信系统中一般只打开指令CACHE,数据CACHE即使打开也只局限在部分存储空间,如堆栈部分。同时也要求程序设计要兼顾CACHE的容量及块大小,这涉及到关键代码循环体的长度及跳转范围,如果一个循环刚好比CACHE大那么一点点,又在反复循环的话,那就惨了。

现象三:这么多任务到底是用中断还是用查询呢?还是中断快些吧

点评:中断的实时性强,但不一定快。如果中断任务特别多的话,这个没退出来,后面又接踵而至,一会儿系统就将崩溃了。如果任务数量多但很频繁的话,CPU的很大精力都用在进出中断的开销上,系统效率极为低下,如果改用查询方式反而可极大提高效率,但查询有时不能满足实时性要求,所以最好的办法是在中断中查询,即进一次中断就把积累的所有任务都处理完再退出。

现象四:存储器接口的时序都是厂家默认的配置,不用修改的

点评:BSP对存储器接口设置的默认值都是按最保守的参数设置的,在实际应用中应结合总线工作频率和等待周期等参数进行合理调配。有时把频率降低反而可提高效率,如RAM的存取周期是70ns,总线频率为40M 时,设3个周期的存取时间,即75ns即可;若总线频率为50M时,必须设为4个周期,实际存取时间却放慢到了80ns。

现象五:一个CPU处理不过来,就用两个分布处理,处理能力可提高一倍

点评:对于搬砖头来说,两个人应该比一个人的效率高一倍;对于作画来说,多一个人只能帮倒忙。使用几个CPU需对业务有较多的了解后才能确定,尽量减少两个CPU间协调的代价,使1+1尽可能接近2,千万别小于1。

现象六:这个CPU带有DMA模块,用它来搬数据肯定快

点评:真正的DMA是由硬件抢占总线后同时启动两端设备,在一个周期内这边读,那边些。但很多嵌入CPU内的DMA只是模拟而已,启动每一次DMA之前要做不少准备工作(设起始地址和长度等),在传输时往往是先读到芯片内暂存,然后再写出去,即搬一次数据需两个时钟周期,比软件来搬要快一些(不需要取指令,没有循环跳转等额外工作),但如果一次只搬

几个字节,还要做一堆准备工作,一般还涉及函数调用,效率并不高。所以这种DMA只对大数据块才适用。

鸡毛蒜皮之四:信号完整性

现象一:这些信号都经过仿真了,绝对没问题

点评:仿真模型不可能与实物一模一样,连不同批次加工的实物都有差别,就更别说模型了。再说实际情况千差万别,仿真也不可能穷举所有可能,尤其是串扰。曾经有一教训是某单板只有特定长度的包极易丢包,最后的原因是长度域的值是0xFF,当这个数据出现在总线上时,干扰了相邻的WE信号,导致写不进RAM。其它数据也会对WE产生干扰,但干扰在可接受的范围内,可是当8位总线同时由0边1时,附近的信号就招架不住了。结论是仿真结果仅供参考,还应留有足够的余量。

现象二:100M的数据总线应该算高频信号,至于这个时钟信号频率才8K,问题不大

点评:数据总线的值一般是由控制信号或时钟信号的某个边沿来采样的,只要争对这个边沿保持足够的建立时间和保持时间即可,此范围之外有干扰也罢过冲也罢都不会有多大影响(当然过冲最好不要超过芯片所能承受的最大电压值),但时钟信号不管频率多低(其实频谱范围是很宽的),它的边沿才是关键的,必须保证其单调性,并且跳变时间需在一定范围

内。

现象三:既然是数字信号,边沿当然是越陡越好

点评:边沿越陡,其频谱范围就越宽,高频部分的能量就越大;频率越高的信号就越容易辐射(如微波电台可做成手机,而长波电台很多国家都做不出来),也就越容易干扰别的信号,而自身在导线上的传输质量却变得越差,因此能用低速芯片的尽量使用低速芯片。

现象四:为保证干净的电源,去偶电容是多多益善

点评:总的来说去偶电容越多电源当然会更平稳,但太多了也有不利因素:浪费成本、布线困难、上电冲击电流太大等。去偶电容的设计关键是要选对容量并且放对地方,一般的芯片手册都有争对去偶电容的设计参考,最好按手册去做。

现象五:信号匹配真麻烦,如何才能匹配好呢?

点评:总的原则是当信号在导线上的传输时间超过其跳变时间时,信号的反射问题才显得重要。信号产生反射的原因是线路阻抗的不均匀造成的,匹配的目的就是为了使驱动端、负载端及传输线的阻抗变得接近,但能否匹配得好,与信号线在PCB上的拓扑结构也有很大关系,传输线上的一条分支、一个过孔、一个拐角、一个接插件、不同位置与地线距离的改变

等都将使阻抗产生变化,而且这些因素将使反射波形变得异常复杂,很难匹配,因此高速信号仅使用点到点的方式,尽可能地减少过孔、拐角等问题。

鸡毛蒜皮之五:可靠性设计

现象一:这块单板已小批量生产了,经过长时间测试没发现任何问题点评:硬件设计和芯片应用必须符合相关规范,尤其是芯片手册中提到的所有参数(耐压、I/O电平范围、电流、时序、温度PCB布线、电源质量等),不能光靠试验来验证。公司有不少产品都有过惨痛的教训,产品卖了一两年,IC厂家换了个生产线,咱们的板子就不转了,原因就是人家的芯片参数发生了点变化,但并没有超出手册的范围。如果你以手册为准,那他怎么变化都不怕,如果参数变得超出手册范围了还可找他索赔(假如这时你的板子还能转,那你的可靠性就更牛了)。

现象二:这部分电路只要要求软件这样设计就不会有问题

点评:硬件上很多电气特性直接受软件控制,但软件是经常发生意外的,程序跑飞了之后无法预料会有什么操作。设计者应确保不论软件做什么样的操作硬件都不应在短时间内发生永久性损坏。

现象三:用户操作错误发生问题就不能怪我了

点评:要求用户严格按手册操作是没错的,但用户是人,就有犯错的时候,不能说碰错一个键就死机,插错一个插头就烧板子。所以对用户可能犯的各种错误必须加以保护。

现象四:这板子坏的原因是对端的板子出问题了,也不是我的责任

点评:对于各种对外的硬件接口应有足够的兼容性,不能因为对方信号不正常,你就歇着了。它不正常只应影响到与其有关的那部分功能,而其它功能应能正常工作,不应彻底罢工,甚至永久损坏,而且一旦接口恢复,你也应立即恢复正常。

硬件电路板设计规范标准

0目录 0目录 (2) 1概述 (4) 1.1适用范围 (4) 1.2参考标准或资料 (4) 1.3目的 (5) 2PCB设计任务的受理和计划 (5) 2.1PCB设计任务的受理 (5) 2.2理解设计要求并制定设计计划 (6) 3规范内容 (6) 3.1基本术语定义 (6) 3.2PCB板材要求: (7) 3.3元件库制作要求 (8) 3.3.1原理图元件库管理规范: (8) 3.3.2PCB封装库管理规范 (9) 3.4原理图绘制规范 (11) 3.5PCB设计前的准备 (12) 3.5.1创建网络表 (12) 3.5.2创建PCB板 (13) 3.6布局规范 (13) 3.6.1布局操作的基本原则 (13) 3.6.2热设计要求 (14) 3.6.3基本布局具体要求 (16) 3.7布线要求 (24) 3.7.1布线基本要求 (27) 3.7.2安规要求 (30)

3.8丝印要求 (32) 3.9可测试性要求 (33) 3.10PCB成板要求 (34) 3.10.1成板尺寸、外形要求 (34) 3.10.2固定孔、安装孔、过孔要求 (36) 4PCB存档文件 (37)

1概述 1.1 适用范围 本《规范》适用于设计的所有印制电路板(简称PCB); 规范之前的相关标准、规范的内容如与本规范的规定相抵触的,以本规范为准。 1.2 参考标准或资料 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的可能性: GB/4588.3—88 《印制电路板设计和使用》 Q/DKBA-Y001-1999《印制电路板CAD工艺设计规范》 《PCB工艺设计规范》 IEC60194 <<印制板设计、制造与组装术语与定义>> (Printed Circuit Board design manufacture and assembly-terms and definitions) IPC—A—600F <<印制板的验收条件>> (Acceptably of printed board) IEC60950 安规标准 GB/T 4677.16-1988 印制板一般检验方法

我来做模板您来用硬件总体设计报告

硬件总体设计报告 (仅供内部使用) 文档作者:_________________ 日期:____/____/____ 文档校对:_________________ 日期:____/____/____ 管理办:_________________ 日期:____/____/____ 请在这里输入公司名称 版权所有不得复制

硬件总体设计报告 1 引言 1 .1编写目的 软件需求规格说明的目的在于为电能质量数据分析软件项目的开发提供: a.提出软件总体要求;作为软件开发人员和最终使用者之间相互了解的基础。 b.提出软件性能要求,数据结构和采集要求,作为软件设计和程序制作基础。 c.软件确认测试的依据。 1 .2背景 见项目开发计划。 1 .3参考资料 略 1 .4术语和缩写词 略。 特别说明:凡涉及到公司内部秘密的部分用(略)代替 2 概述 2 .1软件总体说明 本软件是一项独立、完整的软件。 本软件的主要功能为对(略)进行分析。 2 .2软件总体描述 ********************************************电能质量分析仪的数据分析软件。 该软件的基本要求有: 1.能够根据要求对所测量的结果文件以图形或表格形式进行分析。 2.软件界面友好,指示明确,显示清晰,易于使用。 3.分析结果可打印输出。

1.打开文件及评估标准设置 使用者选择打开一个测量结果文件(略)。文件选择前,首先出现评估标准设置窗口。设置内容可以存储在一个文件中,设置时也可选择一个已存在的文件。 确定后,可选择测量结果(略)。文件选择后,出现“互感器接法”选择窗口,可选择互感器接法。 评估标准国标规定值: (略)

FPGA硬件实战设计经验

TR_Con_ZD板卡设计经验总结 针对TR板卡的PCB布线一根线未动想到的TR板卡bank分配方法介绍 与原来在华为工作的师兄(他做的TR板卡的PCB)聊了一下,他说TR板卡的FPGA线序一根都没改。Bank分配是我来做的,原则是方便PCB走线。分配时候是参考下面的资料来分配的。 TR板卡结构图 XC6VSX315T-FF1759的bank管脚分布图

的上方,因此将FPGA逆时针转90°,如下图所示,这样PCB布线就比较方便了。

分配bank的时候没有考虑Bank在FPGA内部的分布图,如下图所示:

想到的硬件设计流程的东西 师兄说:“动一根线的话,都需要FPGA程序重新跑一遍,这需要浪费很多时间。”FPGA 程序?TR板卡的程序还没有呢!的确值得思考了,到底这个设计应该是按照怎样的一个流程。 在华为,是按照这样的流程进行的。 1.硬件设计人员将IO需求,板卡供电等情况反馈给逻辑设计人员 2.逻辑人员对所需的FPGA资源做评估,最终确定FPGA型号(硬件设计人员也可以参与 一起选型) 3.逻辑设计人员对FPGA进行bank分配,需要以下资源: a)硬件设计人员提供硬件设计框图 b)最好对所用的IO先画一个自己根据原理图框图分配的bank的原理图草图,导入 PCB后进行一下预布局,这样信号流程就清晰了。 逻辑人员根据上述资源进行bank的分配,因为他们对FPGA内部的资源最清楚。逻辑人员要兼顾PCB布局布线的方便,有时候不可能照顾都到布局布线的方便。这时候,就需要在硬件设计人员和FPGA设计人员之间来回折腾,最终兼顾双方,得到一个bank 分配方案。 4.FPGA人员根据bank分配,写一个测试评估的程序,如果能够跑通,时序满足设计需求, 说明现在的bank分配可以使用,如果出现严重的时序错误,那么就需要考虑重新分配bank,通过不断地调整,最终确定板卡的bank分配。 5.根据最终的bank分配方案设计原理图。

硬件总体设计模板

硬件总体设计方案

修订记录 目录

1概述 (7) 1.1文档版本说明 (7) 1.2单板名称及版本号 (7) 1.3开发目标 (7) 1.4背景说明 (7) 1.5位置、作用、 (7) 1.6采用标准 (8) 1.7单板尺寸(单位) (8) 2单板功能描述和主要性能指标 (8) 2.1单板功能描述 (8) 2.2单板运行环境说明 (8) 2.3重要性能指标 (8) 3单板总体框图及各功能单元说明 (9) 3.1单板总体框图 (9) 3.1.1单板数据和控制通道流程和图表说明 (10) 3.1.2逻辑功能模块接口和通信协议和标准说明 (10) 3.1.3其他说明 (11) 3.2单板重用和配套技术分析 (11) 3.3功能单元-1 (11) 3.4功能单元-2 ........................................................................................ 错误!未定义书签。3.5功能单元-3 ........................................................................................ 错误!未定义书签。 4关键器件选型 (12) 5单板主要接口定义、与相关板的关系 (13) 5.1外部接口 (13) 5.1.1外部接口类型1 (13) 5.1.2外部接口类型2 (13) 5.2内部接口 (13) 5.2.1内部接口类型1 (14) 5.2.2内外部接口类型2 (14) 5.3调测接口 (14) 6单板软件需求和配套方案 (14) 6.1硬件对单板软件的需求 (14) 6.1.1功能需求 (14) 6.1.2性能需求 (15) 6.1.3其他需求 (15) 6.1.4需求列表 (15) 6.2业务处理软件对单板硬件的需求可实现性评估 (15) 6.3单板软件与硬件的接口关系和实现方案 (16) 7单板基本逻辑需求和配套方案 (16) 7.1单板内可编程逻辑设计需求 (16) 7.1.1功能需求 (16) 7.1.2性能需求 (17) 7.1.3其他需求 (17) 7.1.4支持的接口类型及接口速率 (17) 7.1.5需求列表 (17) 7.2单板逻辑的配套方案 (18) 7.2.1基本逻辑的功能方案说明 (18)

硬件电路设计过程经验分享 (1)

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。

pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept&allegro是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有1)原理图设计。2)pcb设计。3)制作BOM 表。现在简要谈一下设计流程(步骤): 1)原理图库建立。要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚ic body,ic pins,input pin,output pin,analog pin,digital pin,power pin等区别。 2)有了充足的库之后,就可以在原理图上画图了,按照datasheet和系统设计的要

硬件设计规范

XXX电子有限公司 XXX电子硬件设计规范 V1.2

xxx 电子有限公司发布 1.目的: 为规范硬件设计、保证产品质量和性能、减少各类差错,特制定本规范。 2.适用范围 XXX公司自行研发、设计的各类产品中硬件设计的全过程,各部门涉及到有关内容者均以此规范为依据。 3.文档命名规定 硬件设计中涉及各种文档及图纸,必须严格按规则命名管理。由于XXX公司早期采用的 6.01设计软件不允许文件名超过8个字符,故文件名一直规定为8.3模式。为保持与以前文件 的兼容,本规范仍保留这一限制,但允许必要情况下在文件名后面附加说明性文字。 3.1.原理图 3.1.1.命名规则 原理图文件名形如 xxxxYmna.sch 其中xxxx:为产品型号,由4位阿拉伯数字组成,型号不足4位的前面加0。 Y:为电路板类型,由1位字母组成,目前已定义的各类板的字母见附录1。 m:为文件方案更改序号,表示至少有一个电路模块不同的电路方案序号,不同方案的电路可同时在生产过程中流通,没有互相取代关系。 n:一般为0,有特殊更改时以此数字表示。 a:为文件修改序号,可为0-z,序号大的文件取代序号小的文件。 例如:1801采用SSM339主控芯片的主板原理图最初名为1801M001.SCH,进行电路设计改进后为1801M002.SCH、1801M003.SCH等;改为采用AK1020主控芯片后名为1801M101.SCH,在此基础上的改进版叫1801M102.SCH、1801M103.SCH等。 3.1.2.标题框 原理图标题框中包含如下各项,每一项都必须认真填写: 型号(MODEL):产品型号,如1801(没有中间的短横线); 板名(BOARD):电路板名称,如MAIN BOARD、FRONT BOARD等; 板号(Board No.):该电路板的编号,如1801100-1、1801110-1等,纯数字表示,见“3.2.2.”; 页名(SHEET):本页面的名称,如CPU、AUDIO/POWER、NAND/SD等; 页号(No.):原理图页数及序号,如1 OF 2、2 OF 2等; 版本(REV.):该文件修改版本,如0.1、0.11、1.0等,正式发行的第一版为V1.0; 日期(DATE):出图日期,如2009.10.16等,一定要填出图当天日期; 设计(DESIGN):设计人,由设计人编辑入标题框; 审核(CHECK):审核人,需手工签字; 批准(APPROVE):批准人,需手工签字。 3.2.PCB图 3.2.1.命名规则 PCB文件除后缀为.PCB外,文件名主体及各字段的意义与对应的原理图文件完全相同。 注意:PCB图更改后,即便原理图没有变动,也必须更改原理图文件名,使二者始终保持这种对应关系。

一个硬件工程师高手的设计经验分享(上)

推荐到论坛 一:成本节约 现象一:这些拉高/拉低的电阻用多大的阻值关系不大,就选个整数5K 吧 点评:市场上不存在5K 的阻值,最接近的是 4.99K(精度1%),其次是5.1K(精度5%),其成本分别比精度为20%的4.7K 高4倍和2 倍。20%精度的电阻阻值只有1、1.5、2.2、3.3、4.7、6.8几个类别(含10的整数倍);类似地,20%精度的电容也只有以上几种值,如果选了其它的值就必须使用更高的精度,成本就翻了几倍,却不能带来任何好处。 现象二:面板上的指示灯选什么颜色呢?我觉得蓝色比较特别,就选它吧 点评:其它红绿黄橙等颜色的不管大小(5MM 以下)封装如何,都已成熟了几十年,价格一般都在5毛钱以下,而蓝色却是近三四年才发明的东西,技术成熟度和供货稳定度都较差,价格却要贵四五倍。目前蓝色指示灯只用在不能用其它颜色替代的场合,如显示视频信号等。 现象三:这点逻辑用74XX 的门电路搭也行,但太土,还是用CPLD吧,显得高档多了 点评:74XX的门电路只几毛钱,而CPLD至少也得几十块,(GAL/PAL虽然只几块钱,但公司不推荐使用)。成本提高了N 倍不说,还给生产、文档等工作增添数倍的工作。现象四:我们的系统要求这么高,包括MEM、CPU、FPGA等所有的芯片都要选最快的 点评:在一个高速系统中并不是每一部分都工作在高速状态,而器件速度每提高一个等级,价格差不多要翻倍,另外还给信号完整性问题带来极大的负面影响。 现象五:这板子的PCB 设计要求不高,就用细一点的线,自动布吧 点评:自动布线必然要占用更大的PCB 面积,同时产生比手动布线多好多倍的过孔,在批量很大的产品中,PCB 厂家降价所考虑的因素除了商务因素外,就是线宽和过孔数量,它们分别影响到PCB 的成品率和钻头的消耗数量,节约了供应商的成本,也就给降价找到了 理由。 现象六:程序只要稳定就可以了,代码长一点,效率低一点不是关键 点评:CPU 的速度和存储器的空间都是用钱买来的,如果写代码时多花几天时间提高一下程序效率,那么从降低CPU 主频和减少存储器容量所节约的成本绝对是划算的。CPLD/FPGA设计也类似。 二:低功耗设计 现象一:我们这系统是220V供电,就不用在乎功耗问题了 点评:低功耗设计并不仅仅是为了省电,更多的好处在于降低了电源模块及散热系统的成本、

USRC215wifi模块硬件设计手册

USR-C215 wifi模块硬件设计手册

目录 1. 产品概述 (3) 1.1产品简介 (3) 1.2引脚描述 (3) 1.3尺寸描述 (4) 1.4 开发套件 (5) 2.硬件参考设计 (6) 2.1典型应用硬件连接 (6) 2.2电源接口 (6) 2.3 UART接口 (7) 2.4复位控制和恢复出厂设置控制 (8) 2.5天线 (8) 3.免责声明 (9) 4.更新历史 (9) 附件1:评估板原理图 (9)

1.产品概述 1.1产品简介 USR-C215模块硬件上集成了MAC、基频芯片、射频收发单元、以及功率放大器;内置低功耗运行机制,可以有效实现模块的低功耗运行;支持WiFi协议以及TCP/IP协议,用户仅需简单配置,即可实现UART设备的联网功能。尺寸较小,易于组装在客户产品的硬件单板电路上,且模块可选择内置或外置天线的应用,方便客户多重选择。 1.2引脚描述 下图为USR-C215的引脚对应图: 图1 USR-C215 引脚图

表1 USR-C215模块管脚说明 注:在信号类型中,P表示电源,I表示输入,O表示输出,N表示不可用 管脚名称信号类型说明 1 GND P 电源地 2 VDD P 电源正极,3.3V 3 RELOAD I 拉低1-3秒是启动simplelink配置,3S以上是恢复出厂设置 4 RESET I 模块复位,低电平有效 5 UART_RX I 串口接收引脚 6 UART_TX O 串口发送引脚 7 PWR_SW N 悬空,不可用 8 WPS N 悬空,不可用 9 READY O 模块工作正常指示引脚,低有效,可外接LED 10 nLINK O 模块WiFi连接指示引脚,低有效,可外接LED 1.3尺寸描述 外形尺寸为22.0*13.5mm,误差为±0.2mm.引脚尺寸如图2 图2 外形尺寸图

硬件设计文档规范 -硬件模板

SUCHNESS 硬件设计文档 型号:GRC60定位终端 编号: 机密级别:绝密机密内部文件 部门:硬件组 拟制:XXXX年 XX月 XX日 审核:年月日 标准化:年月日 批准:年月日

文档修订历史记录

目录 1系统概述 (3) 2系统硬件设计 (3) 2.1硬件需求说明书 (3) 2.2硬件总体设计报告 (3) 2.3单板总体设计方案 (3) 2.4单板硬件详细设计 (3) 2.5单板硬件过程调试文档 (3) 2.6单板硬件测试文档 (4) 3系统软件设计 (4) 3.1单板软件详细设计 (4) 3.2单板软件过程调试报告 (4) 3.3单板系统联调报告 (4) 3.4单板软件归档详细文档 (4) 4硬件设计文档输出 (4) 4.1硬件总体方案归档详细文档 (4) 4.2硬件信息库 (5) 5需要解决的问题 (5) 6采购成本清单 (5)

1系统概述 2系统硬件设计 2.1、硬件说明书 硬件需求说明书是描写硬件开发目标,基本功能、基本配置,主要性能指标、运行环境,约束条件以及开发经费和进度等要求,它的要求依据是产品规格说明书和系统需求说明书。它是硬件总体设计和制订硬件开发计划的依据,具体编写的内容有:系统工程组网及使用说明、硬件整体系统的基本功能和主要性能指标、硬件分系统的基本功能和主要性能指标以及功能模块的划分等 2.2、硬件总体设计报告 硬件总体设计报告是根据需求说明书的要求进行总体设计后出的报告,它是硬件详细设计的依据。编写硬件总体设计报告应包含以下内容:系统总体结构及功能划分,系统逻辑框图、组成系统各功能模块的逻辑框图,电路结构图及单板组成,单板逻辑框图和电路结构图,以及可靠性、安全性、电磁兼容性讨论和硬件测试方案等 2.3、单板总体设计方案 在单板的总体设计方案确定后出此文档,单板总体设计方案应包含单板版本号,单板在整机中的位置、开发目的及主要功能,单板功能描述、单板逻辑框图及各功能模块说明,单板软件功能描述及功能模块划分、接口简单定义与相关板的关系,主要性能指标、功耗和采用标准 2.4、单板硬件详细设计 在单板硬件进入到详细设计阶段,应提交单板硬件详细设计报告。在单板硬件详细设计中应着重体现:单板逻辑框图及各功能模块详细说明,各功能模块实现方式、地址分配、控制方式、接口方式、存贮器空间、中断方式、接口管脚信号详细定义、时序说明、性能指标、指示灯说明、外接线定义、可编程器件图、功能模块说明、原理图、详细物料清单以及单板测试、调试计划。有时候一块单板的硬件和软件分别由两个开发人员开发,因此这时候单板硬件详细设计便为软件设计者提供了一个详细的指导,因此单板硬件详细设计报告至关重要。尤其是地址分配、控制方式、接口方式、中断方式是编制单板软件的

电子硬件工程师要求

电子硬件工程师要求 基于实际经验与实际项目详细理解并掌握成为合格的硬件工程师的最基本...基本上就可以成为一个合格的电子工程师:第一部分:硬件知识一、数字信... 基于实际经验与实际项目详细理解并掌握成为合格的硬件工程师的最基本知识。 1)基本设计规范 2)CPU基本知识、架构、性能及选型指导 3)MOTOROLA公司的PowerPC系列基本知识、性能详解及选型指导 4)网络处理器(INTEL、MOTOROLA、IBM)的基本知识、架构、性能及选型 5)常用总线的基本知识、性能详解 6)各种存储器的详细性能介绍、设计要点及选型 7)Datacom、Telecom领域常用物理层接口芯片基本知识,性能、设计要点及选型 8)常用器件选型要点与精华 9)FPGA、CPLD、EPLD的详细性能介绍、设计要点及选型指导 10)VHDL和Verilog HDL介绍 11)网络基础 12)国内大型通信设备公司硬件研究开发流程 最流行的EDA工具指导 熟练掌握并使用业界最新、最流行的专业设计工具 1)Innoveda公司的ViewDraw,Power PCB,Cam350 2)CADENCE公司的OrCad,Allegro,Spectra 3)Altera公司的MAX+PLUS II 4)学习熟练使用VIEWDRAW、ORCAD、POWERPCB、SPECCTRA、ALLEGRO、CAM350、MAX+PLUS II、ISE、FOUNDATION等工具 5)XILINX公司的FOUNDATION、ISE 一.硬件总体设计 掌握硬件总体设计所必须具备的硬件设计经验与设计思路 1)产品需求分析 2)开发可行性分析 3)系统方案调研 4)总体架构,CPU选型,总线类型 5)数据通信与电信领域主流CPU:M68k系列,PowerPC860,PowerPC8240,8260体系结构,性能及对比6)总体硬件结构设计及应注意的问题 7)通信接口类型选择 8)任务分解 9)最小系统设计 10)PCI总线知识与规范 11)如何在总体设计阶段避免出现致命性错误 12)如何合理地进行任务分解以达到事半功倍的效果 13)项目案例:中、低端路由器等 二.硬件原理图设计技术 目的:通过具体的项目案例,详细进行原理图设计全部经验,设计要点与精髓揭密。 1)电信与数据通信领域主流CPU(M68k,PowerPC860,8240,8260等)的原理设计经验与精华;

M6312硬件设计手册

M6312硬件设计手册 GSM系列 版本:V006 日期:2017-07-20 中移物联网有限公司 https://www.doczj.com/doc/782928823.html,

V0012016-12-13 张乐原始版本 V0022017-03-27 张乐修改RI引脚描述 V0032017-04-01张乐修改EMERG_OFF引脚定义 V0042017-4-14 张乐修改EMERG_OFF参考设计 V0052017-4-20 贾灿增加包装说明 V0062017-7-20 张乐修改固件升级说明,更新SIM卡切换说明

2.2功能框图 (9) 2.3评估板 (10) 3应用接口 .................................................................. - 11 - 3.1管脚描述 (11) 3.2工作模式 (15) 3.3电源供电 (16)

5电气性能,可靠性 .......................................................... - 31 - 5.1绝对最大值 (31) 5.2工作温度 (32) 5.3电源额定值 (32) 5.4耗流 (33)

图 9 按键紧急关机电路................................................... - 20 - 图 10 串口三线制连接方式示意图.......................................... - 22 - 图 11 串口流控连接方式示意图............................................ - 23 - 图 12 固件升级连线图.................................................... - 23 - 图 13 调试串口连接图.................................................... - 24 - 图 14 3.3V电平转换电路................................................. - 24 - 图 15 5V 电平转换电路................................................... - 25 - 图 16 RS232 电平转换电路................................................ - 25 - 图 17 NETLIGHT参考电路................................................ - 27 - 图 18 STATUS 参考电路.................................................. - 28 -

经验分享:硬件电路怎么设计

经验分享:硬件电路怎么设 计

1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb ,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信

号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued...... 其实无论用简单的protel或者复杂的cadence工具,硬件设计大环节是一样的(protel上的操作类似windwos,是post-command型的;而cadence的产品concept & allegro 是pre-command型的,用惯了protel,突然转向cadence的工具,会不习惯就是这个原因)。设计大环节都要有: 1)原理图设计。 2)pcb设计。 3)制作BOM表。 现在简要谈一下设计流程(步骤): 1)原理图库建立。 要将一个新元件摆放在原理图上,我们必须得建立改元件的库。库中主要定义了该新元件的管脚定义及其属性,并且以具体的图形形式来代表(我们常常看到的是一个矩形(代表其IC BODY),周围许多短线(代表IC管脚))。protel创建库及其简单,而且因为用的人多,许多元件都能找到现成的库,这一点对使用者极为方便。应搞清楚 ic body,ic pins,input pin,output pin, analog pin, digital

Neo_M590E模块硬件设计指南(邮票孔) V1.0

Neo_M590E 硬件设计指南
Version V1.0
深圳市有方科技有限公司
有无线,方精彩 Let's enjoy the wireless life!

Neo_M590E 硬件设计指南
V1.0
版权声明
Copyright ? 2008 neoway tech 深圳市有方科技有限公司保留所有权利。
是深圳市有方科技有限公司所有商标。 本手册中出现的其他商标,由商标所有者所有。
说明
本指南的使用对象为系统工程师,开发工程师及测试工程师。 由于产品版本升级或其它原因,本手册内容会在不预先通知的情况下进行必要的更新。 除非另有约定,本手册中的所有陈述、信息和建议不构成任何明示或暗示的担保。
深圳市有方科技有限公司为客户提供全方位的技术支持,任何垂询请直接联系您的客户经理 或发送邮件至以下邮箱: Sales@https://www.doczj.com/doc/782928823.html, Support@https://www.doczj.com/doc/782928823.html, 公司网址:https://www.doczj.com/doc/782928823.html,
深圳市有方科技有限公司版权所有
第 2 页,共 18 页

Neo_M590E 硬件设计指南
V1.0

1. 2. 3. 4. 5. 6. 6.1. 6.1.1. 6.1.2. 6.1.3. 6.1.4. 6.1.5. 6.1.6. 6.2. 6.3. 6.4. 6.5. 6.6. 7. 8.

概述 .................................................................... 5 外形 .................................................................... 5 设计框图 ................................................................ 5 特性 .................................................................... 6 管脚定义 ................................................................ 7 接口设计参考 ............................................................ 7 电源及复位接口 .........................................................................................................7 电源.........................................................................................................................8 上电时序 .................................................................................................................9 ON/OFF 管脚说明 ..................................................................................................9 EMERGOFF 管脚说明...........................................................................................11 VCCIO 管脚说明..................................................................................................12 模块开机、关机及复位 .........................................................................................12 串口 ..........................................................................................................................13 SIM 卡接口................................................................................................................14 指示灯 ......................................................................................................................15 信号连接器和 PCB 封装 ............................................................................................15 射频连接器...............................................................................................................16 装配 ................................................................... 16 缩略语 ................................................................. 17
深圳市有方科技有限公司版权所有
第 3 页,共 18 页

(新)硬件总体设计报告

软件需求规格说明 (仅供内部使用) 文档作者:_________________ 日期:____/____/____ 文档校对:_________________ 日期:____/____/____ 管理办:_________________ 日期:____/____/____ 请在这里输入公司名称 版权所有不得复制

软件需求规格说明 1 引言 1 .1编写目的 软件需求规格说明的目的在于为电能质量数据分析软件项目的开发提供: a.提出软件总体要求;作为软件开发人员和最终使用者之间相互了解的基础。 b.提出软件性能要求,数据结构和采集要求,作为软件设计和程序制作基础。 c.软件确认测试的依据。 1 .2背景 见项目开发计划。 1 .3参考资料 略 1 .4术语和缩写词 略。 特别说明:凡涉及到公司内部秘密的部分用(略)代替 2 概述 2 .1软件总体说明 本软件是一项独立、完整的软件。 本软件的主要功能为对(略)进行分析。 2 .2软件总体描述 ********************************************电能质量分析仪的数据分析软件。 该软件的基本要求有: 1.能够根据要求对所测量的结果文件以图形或表格形式进行分析。 2.软件界面友好,指示明确,显示清晰,易于使用。 3.分析结果可打印输出。

1.打开文件及评估标准设置 使用者选择打开一个测量结果文件(略)。文件选择前,首先出现评估标准设置窗口。设置内容可以存储在一个文件中,设置时也可选择一个已存在的文件。 确定后,可选择测量结果(略)。文件选择后,出现“互感器接法”选择窗口,可选择互感器接法。 评估标准国标规定值: (略)

硬件工程师工作总结的范文(完美版)

硬件工程师工作总结范文 【导语】当工作进行到一定阶段或告一段落时,需要我们来对前段时期所做的工作认真地分析研究一下,肯定成绩,找出问题,归纳出经验教训,以便于更好的做好下一步工作。 我来自古都南京,大学毕业后一直在无锡工作,有着深厚的电子专业背景,大学里面主要学习电路微观设计,现在毕业之后不仅从事电路微观设计,而且现在也宏观把握整个系统:从CPU结构,外围接口电路,电源模块,功耗分析,匹配电阻,上拉下拉电压的选择,系统编解码过程等有比较清晰的认识。 刚开始在公司实习,主要从事音视频的编解码工作:具体的熟悉了当前多媒体业界的标准:包括视频H.264,MPEG4,音频AAC,以及图像处理的基本算法(压缩算法流程、JPEG压缩算法等),还有有关流媒体服务器开源项目有些了解。从10月中旬开始从事硬件电路设计工作,之外还从事PCB板制作(使用Allegro软件。),CAD,CAM软件的使用,以及配料、选料、器件的管理等。 现在我主要从事电路设计方面的工作,在电路处理,电路模块选择方面有了提高,在电路应用软件方面也逐步成熟,期待在平台架设,底层开发方面有所进步。 逐渐熟悉了器件采购流程,器件选择与匹配,在产品设计过程中,能够提出有效建议,限度地降低成本,改善产品性能,希望以后在电路设计方面进一步突破,取得更大进步。

在这一年里:主要参与网络摄像机,4路视频服务器,图像采集板的硬件模块修改与pcb制作,所有器件采购,部分电路的调试与测试,其他的没有了。 公司的企业文化让我学会了很多,进入企业,首先要看能否个人发展道路与公司企业文化相融洽:无论是公司开放式的会议交流,还是公司沙龙形式的讲座,都让我很高兴,很轻松。通过这一年多的工作,使我越来越清楚地认识到:我要学习的东西还有很多:无论是管理方面,还是技术方面的,硬件电路设计还很薄弱,现在有时还是以学生时期的思维去思考问题。 存在的优点:做事认真,善于思考,始终以产品设计者的角度去看问题,解决问题,无论是什么事情,首先把手头的工作做好,再去做其他的事情。虽然有时在研发过程中会出现一些问题,但会及时发现总结,做文档备份,免着以后再发生类似的错误,比如在设计主板的时候,当时采用TC3216封装,其实TC3216封装和C1206封装是一样的,当时做TC3216封装没问题,但是没有注意C1206在前期做封装的时候不完善,没有发现,最后导致正负极不能区分。这虽然是个很小的问题,但是当时没有及时发现,以致导致板子重新做了。 谈过了优点,其实缺点也是蛮多的:在技术方面有句俗话:宁缺勿滥,什么都会,就是什么都不会,在学校和刚来公司的时候,我感觉什么都喜欢做,算法,电路,网络等等,现在我知道了,什么都学,什么都学不会,所以,就我个人兴趣而言,我还是喜欢实在的东西,看得见,摸得找得东西:硬件,大学四年学习的就是电子工程,兴趣

硬件电路设计规范

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的工作作风和严肃、认真的工作态度,增强硬件开发人员的责任感和使命感,提高工作效率和开发成功率,保证产品质量。 1、深入理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU等主芯片进行选型,CPU 选型有以下几点要求: 1)容易采购,性价比高; 2)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; 3)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功参考设计。 一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进

行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: 1)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片,减少风险; 2)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; 3)采购方便原则:尽量选择容易买到,供货周期短的元器件; 4)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; 5)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; 6)向上兼容原则:尽量选择以前老产品用过的元器件; 7)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;当然,如果所采用的成功参考设计已经是

相关主题
文本预览
相关文档 最新文档