当前位置:文档之家› 多功能数字抢答器设计

多功能数字抢答器设计

多功能数字抢答器设计
多功能数字抢答器设计

电子技术课程设计题目:多功能数字显示抢答器设计

院(系)名称信息工程学院

专业班级10 普本测控

学号100119030

学生姓名刘新雅

指导教师乐丽琴

2012年12月12日

摘要

在现代社会生活中,智力竞赛作为一种生动活泼的教育形式和方法能够引起观众极大兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行抢答,对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员,抢答器刚好为这种比赛提供了方便。整个流程是任一组选手按下抢答按钮,经编码器编码后输出一组二进制代码传送给D触发器,同时编码器输出的代码通过发光二极管所组成的或门输出高电平,使RS触发器置0,D触发器的脉冲为低电平,编码器传送到D触发器的二进制代码被D触发器锁存,经译码器,把锁存的数据通过数码管显示出来。

关键词:编码器40147,锁存器CC4042,译码器CC4511,数码管

目录

1 绪论 (5)

1.1课题描述 (5)

1.2设计目的......................................................................................................................

错误!未定义书签。

1.3 设计任务及要求..........................................................................................................错误!未定义书签。

2 基本工作原理及框图 ........................................................................ 错误!未定义书签。

3 整体电路设计 (2)

3.1工作原理 (2)

3.2 8路智力竞赛抢答器的原理图 (2)

4 相关芯片及硬件电路设计 (3)

4.1 数码显示译码器 (3)

4.2 CC4511芯片功能特性及说明 (3)

4.3 CC4042芯片的功能特性.及功能说明 (6)

4.4 CC40147芯片的功能特性 (7)

总结 (9)

致谢 (10)

参考文献 (11)

1 绪论 1.1 课题描述

现在,工厂、学校和电视台等单位经常举办各种智力竞赛, 抢答记分器是必要设备。在我校举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。就这个问题,本课题研究的内容就是以数字集成芯片CC4511、CC4042和CC40147为主要控制元件,设计出一种8路智力竞赛抢答硬件。 1.2 设计目的

1)掌握8人抢答器电路的设计、组装与调试方法。 2)熟悉数字集成电路的设计和使用方法。 1.3 设计任务及要求

1)能实现8路的智能抢答器显示。

2)鉴别出8个输出信号中的第一个到来者,而对随后到来的其他输入信号不再输出和做出响应,数码管LED 可显示抢答到的顺序。 2 基本工作原理及框图

本课程设计的8路智力竞赛抢答器由抢答器系统、优先编码器系统、锁存器系统、译码器系统、译码显示系统,控制电路构成。其基本工作原理:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,然后主持人按下复位开关,选手开始抢答,抢答器完成,主持人看译码显示器数字确定抢答到的选手。基本工作原理框图如图1所示。

图1 基本工作原理框图

3 整体电路设计

优先编码器CC40147

抢答器

锁存器

CC4042

译码器CC4511

译码显示

控制电路 主持人控制开关

3.1 工作原理

本抢答器电路主要用10线-4线优先编码器CC40147,锁存器CC4042(四D 锁存器),4线-7线译码器CC4511,或非门CC4001,数码管BS202,电阻20K2只,10K8只,电容100PF1只。电路工作时CC4042的极性控制端为高电平,CP 端电平有CC4001所构成的RS 触发器输出端决定。 3.2 8路智力竞赛抢答器的原理图

当主持人按一下SB0时,RS 触发器置1,D 触发器处于接受状态,若此时任一选手按下按钮,比如SB3按下编码器输出为0101,D 触发器的输出也是0101,同时编码器的输出0101通过4个发光二极管VD1VD2VD3VD4所组成的或门输出高电平,使RS 触发器置0,D 触发器的CP 端为0,D 触发器的状态被锁存为0101,经CC4511译码器后,LED 数码管显示数字“5”,此时若其他选手按下按钮,由于D 触发器处于锁存状态,不再接收信号,数码管所显示的数字不再变化。进行下一轮抢答时,主持人需要再按下按钮SB0,D 触发器的CP 端重新为1,D 触发器又处于接受状态,可以再次进行抢答。其工作原理图如图2所示。

/L T A 2

a

L E

b A 0

A 1

A 3

/BI c d e f g

CC4511

Q 0

Q 1Q 2Q 3

D 0

D 1

D 2

D 3

CP

P O L

CC4042

+V D D

Y 0

Y 1Y 2Y 3

I9

I1

I2

I3

I4

I5

I6

I7

I8

CC40147

+V D D

I0

+V D D

>=1

>=1

20K

SB 0

1000p F

+V D D

20K

CC 4001

V D 1

V D 4

10K *8

SB 1

SB 8

+V D D

图2 8路智力竞赛抢答器的原理图

4 相关芯片及硬件电路设计 4.1 数码显示译码器

LED数码管是目前最常用的数字显示器,一个LED数码管可用来显示一位0~9十进制和一个小数点,小型数码管(0.5寸和0.36寸)每段二极管的正向压降,随显示光(通常为红,绿,黄,橙色)的颜色不同略有差别,通常约为2 ~2.5,每个发光二极管的点亮电流在5~10mV。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动功能。七段发光二极管(LED)数码管引脚如图3所示。

a b c d e f g

图3 七段发光二极管(LED)数码管引脚

4.2 CC4511芯片的功能特性及说明

CC4511是BCD-7段锁存译码驱动器,在同一单片解构上由COS/MOS逻辑器件和n-p-n双极型晶体管器件构成。这些器件的组合,使CC4511具有低静态耗散其它显示仪器,/LT、/BI、LE输入端可分别检测显示,亮度调制、存贮或选通-BCD码等功能,当使用外部多路转换电路时,可多路转换和显示几种不同的符号。

CC4511提供了16引脚多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、塑料双列直插(P)和陶瓷片状载体(C)4种形式。其外引线引脚如图4所示。

/LT A2

a LE

b A0

A1

A3

/BI c d e f g

CC4511

图4 CC4511 4线-7线外引线引脚图

CC4511状态表及功能表说明:

(1)灯测试功能:/LT 可检查七段显示器各字段是否能正常发光。当/LT=0时,不论Q0-Q3状态如何,七段全部显示,以检查各字段的好坏。

(2)消隐功能:当/BI=0时,输出a-b 都为低电平,各字段熄灯。 (3)数码显示:当/BI=1 /LE=1 LE=0,译码器工作,当Q3Q2Q1Q0端输入8421BCD 码。

(4)锁存:在LE 从“0”转换到“1”时,输出显示由输入的BCD 码决定。 CD4511状态表说明如下表1所示。

如表1 CC4511状态表

显示

输入

输出

LE /BI /LE A3 A2 A1 A0 a b c d e f g

0 0 1 1 0 0 0 0 1 1 1 1 1 1 1

1 0 1 1 0 0 0 1 0 1 1 0 0 0 0

2 0 1 1 0 0 1 0 1 1 0 1 1 0 1

3 0 1 1 0 0 1 1 1 1 1 1 0 1 1

4 0 1 1 0 1 0 0 0 1 1 0 0 1 1

5 0 1 1 0 1 0 1 1 0 1 1 0 1 1

6 0 1 1 0 1 1 0 0 0 1 1 1 1 1

7 0 1 1 0 1 1 1 1 1 1 0 0 0 0

8 0 1 1 0 0 0 0 1 1 1 1 1 1 1

9 0 1 1 0 0 0 1 1 1 1 0 0 1 1

消隐0 1 1 1 0 1 O

0 0 0 0 0 0 0

1 1 1 1

消隐X 0 1 X X X X 0 0 0 0 0 0 0

锁存 1 1 1 X X X X 锁存

灯测试X X 0 X X X X 1 1 1 1 1 1 1

4.3 CC4042芯片的功能特性及说明

CC4042包含四个锁存器电路,由公共时钟选通,每个电路有互补缓冲输出,n和p沟道输出器件的阻抗相同,所以输出电特性一致。输入端的数据在由M选择的CP电平期间传送至Q和/Q输出端,当M=0, 定义的M和CP电平同时存在时,

输出跟随数据输入。当CP 电平转换时(M=0,为正;M=1,为负),输出端数据的CP 转换期间保持在输出端,直至CP 电平发生转换。

CC4042提供了16引脚多层陶瓷双列直插(D )、熔封陶瓷双列直插(J )、塑料双列直插(P )和陶瓷片状载体(C )4种封装形式。其外部引脚如下图5所示。

Q0

Q1Q2Q3

D0

D1

D2

D3

CP

P OL CC4042

+VDD

图5 CC4042四D 锁存器外引线引脚图

CC4042锁存器功能说明:

(1)D :同步信号输出端;

(2)CP :触发时钟端,由POL 状态决定触发边沿;

(3)POL:极性选择控制端,POL=0时,触发时钟CP 上升沿触发;POL=1时,触发时钟CP 下降沿触发。 其功能状态表如下表2所示。

表2 CC4042状态表

POL

CP

D i

Q

/Q

0 0 0 0 0 0 0 1 1

0 ↑ X 锁存 1 1 0 0 1 1 1 1 1

1

X

锁存

4.4 CC40147芯片的功能特性

CC40147 编码器具有对输入信号进行优先编码的功能,可保证仅有最高级数据线编码。10 条数据输入线(0~9)编码为四条线(A~D )(BCD 输出)。最高优先线为第九线。当所有输入线为逻辑 0 时,所有输出线为逻辑 1,所有输入和输出都 经过缓冲,且每个输出可驱动一个 TTL 低功耗肖特基负载。74LS147优先编码器外部引脚如下图6所示,其状态表如下表3所示。

Y0

Y1Y2Y3

I9

I0

I1

I2

I3

I4

I5

I6

I7

I8

CC40147

+VDD

图6 74LS147优先译码器外引线引脚图

表3 CC40147的状态表 输入

输出 I 0

I 1

I 2

I 3

I 4

I 5

I 6

I 7

I 8

I 9

Y 3

Y 2

Y 1

Y 0

0 0 0 0 0 0 0 0 0 0 1 1 1 1

1 0 0 0 0 0 0 0 0 0 0 0 0 0

X 1 0 0 0 0 0 0 0 0 0 0 0 1

X X 1 0 0 0 0 0 0 0 0 0 1 0

X X X 1 0 0 0 0 0 0 0 0 1 1

X X X X 1 0 0 0 0 0 0 1 0 0

X X X X X 1 0 0 0 0 0 1 0 1

X X X X X X 1 0 0 0 0 1 1 0

X X X X X X X 1 0 0 0 1 1 1

X X X X X X X X 1 0 1 0 0 0

X X X X X X X X X 1 1 0 0 1

总结

通过十余天的时间,是我对数字电子电路有了更深的了解,在设计竞赛抢答器的过程中,通过翻阅资料,上网搜索,我对各电路器件(如:CC4511译码器,或非门和LED显像管等)及原理有了更深刻的认识,既增强了我的理解能力,也使我能更好的运用所学的知识。开始时我还不太明白电路是如何连接的,

并且对其原理也不是很了解,但通过对所学知识更深的了解之后,最终使我克服难关,并成功的做出设计。这个8路智力竞赛抢答器从整体来说,设计的结构比较简单,做成产品也很容易,单个成品价格也很便宜,但整个设计原理图用的芯片比较多,如果大量生产的话,是不经济的。希望自己多加努力,有机会把它设计的更经济点。

致谢

经过十余天的奋战,终于完成了一个简单的设计,这几天我过的很充实,看着自己的劳动成果,心里有种说不出的感觉,毕竟自己的努力还是有所回报,我为自己的努力感到骄傲,当然我也认识到自己的不足,看到了自己在运用知识方面欠缺。

我想说;为了完成课程设计老师和我们有很辛苦,但能苦中作乐。我们一边

忙着复习备考,一边还要做课程设计,时间对我们每个人都很珍贵.课程设计做

完了,总算可以轻松点了。对我而言,知识上的收获重要,精神上的收获更加可

喜。从这次课程设计中,我不仅巩固了课本的知识,还学会了许多其它知识,我

知道了每一个课程之间是融会贯通的。再次感谢老师对我们的辅导,老师您辛苦

了!!!

参考文献

[1] 宋家友乐丽琴.数字电子技术[M].哈尔滨工程大学出版社,2011.1

[2] 高吉祥.全国大学生电子设计竞赛培训系列教程-基本技能训练与单元电路

设计[M].北京:电子工业出版社,2007:24-57.

[3] 黄智伟.全国大学生电子设计竞赛训练教程[M] .北京:电子工业出版社,

2005.1:43-66.

[4] 彭介华.电子技术课程设计指导[M] .北京:高等教育出版

社,2002.12:37-228.

[5] 陈永甫.新编555集成电路应用800例[M].北京:电子工业出版社,2000:80-130.

[6] 蒋华勤.电子技术基础实验[M].中国计量出版社,2009.8 121-168

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字电路设计——抢答器

《数字电路》课程设计报告 设计题目:优先抢答器 学生姓名:学号: 教师姓名:日期: 一、设计任务 讨论课的11个小组,设计一个优先抢答器,并用两个7段码显示组号。 ①只显示第一个抢答组的号码; ②同时显示前两个抢答组的号码(双优先); 二、电路原理 整个电路分为三个部分:输入、处理、输出。输入由11个开关构成,当开关按下时代表该组发出抢答申请。为了节省芯片的使用及锁存功能的实现,处理与输出用CD4511串联共阴数码管实现。 CD4511 是一片 CMOS BCD—锁存/7 段译码/驱动器,用于驱动共阴极 LED (数码管)显示器的 BCD 码-七段码译码器。具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动共阴LED数码管。 真值表如下:

CD4511输入中D为最高位,可以输出0~9,且具有锁存功能(可以节省一个锁存器的使用)。 输入电路: 输入有主次之分,1、2、4、8为主:直接连接A、B、C、D,剩余的数用以上4个数表示(比如7为=1+2+4=111,因此7组的开关有三个分支,分别与1、2、4所在线相连。) 由于在1、2、4、8导线连了所有的开关,如果一个开关闭合,则1、2、4、8都可能导通,因此为了避免输入间相互干扰,在每个开关后需加上二极管。 处理及输出电路: 由于CD4511可直接驱动共阴数码管,所以CD4511与数码管组成处理及输出电路。具体输出原理见上文对CD4511的描述。 锁存功能的实现: 当有一个输入时马上锁存:判断是否有输入用5个或门实现,然后接LE 端。为了实现信号的同步性,使用了延时器使经过或门处理后的信号延时保持一致。 三、电路仿真和结果分析 表1 器件及其参数

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路抢答器电路设计.doc

《数字电子技术课程设计》报告——数字电路抢答器电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 1.课程设计目的 抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑

电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。 而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片 74LS297(8 位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要 2、性能指标要求: (1)设计制作一个可容纳8 组参赛的数字式枪答器,每组设置一个抢答按 钮供抢答者使用。 (2)根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,起动、复位电路。 (5)设计计分电路,犯规电路。 3.电路组成框图 如图数字抢答器框图

如图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到" 清除 "状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主 持人将开关置“开始”状态,宣布"开始 "抢答器工作。定时器倒计时,扬声器给 出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定 时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 4.元器件清单 元件清单: 74LS148 1 74LS279 1 74LS48 3 74LS192 2 NE555 2 74LS00 1 74LS121 1 510Ω 2 1KΩ9 Ω 1 Ω 1 100kΩ 1 10kΩ 1 68kΩ 1 Ω 1 15k

数字电路逻辑设计数字竞赛抢答器

数字电路逻辑设计课程设计 设计名称数字竞赛抢答器 专业班级 学号 姓名 指导教师

太原理工大学现代科技学院 课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 1.1设计目的 有许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录功能。 (1). 了解抢答器的设计原理 (2). 掌握其外围电路的设计与主要性能参数测试方法 (3). 掌握抢答器的设计方法与电子线路系统的装调技术 1.2设计要求内容和步骤 1.2.1设计要求 设计竞赛抢答器,要求: (1)设计制造一个可容纳六组参赛的数字式抢答器,每组设置一个抢答按钮供抢答者使用。 (2)电路具有第一抢答信号的鉴别和锁存功能。 (3)设置记分电路。每组在开始预置成100分,抢答后由主持人记分,答对一次加10分,否则减10分。(选做) (4)设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示出犯规组别。(选做) 1.2.2设计步骤 1、根据选题要求,进行方案比较,画出系统框图,进行初步设计。 2、设计单元电路,计算参数,选择元器件。 3、画出系统电路原理图。 4、利用EWB 软件对原理图进行仿真,修改设计中的疏漏。 5、现场安装调试。 6、撰写课程设计说明书。 2.1 电路的基本功能要求及原理方框图 2.1.1基本功能要求 1:设计一个智力抢答器抢答器,能同时供6名选手或6个代表队比赛,他们的编号分别是1,2,3,4,5,6各用一个按纽,按纽编号与选手的编号相对应,分别用6个按钮S1-S6表示。 2: 给主持人设置一个系统清除和抢答开始的控制开关S 。 3:抢答器具有锁存与显示功能。即抢答开始后,若选手按动按钮,锁存器立即锁存相应的选手编号,并在LED 数码管上显示选手的编号(1-6),同时扬声器发出声响提示。选手抢答实行优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清除为止。 4:抢答器具有定时抢答功能,且一次抢答的时间为30秒,当主持人启动"开始"键后,定时器立刻倒计时,若30秒时间内有选手抢答,则显示器显示倒计时时间,并显示,保持到主持人将系统清除为止。 5:参赛选手在设定的时间内进行抢答有效,超过时间抢答无效,定时器停止工作,定时显示器显示00。 ……………………………………装………………………………………订…………………………………………线………………………………………

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

六路数字抢答器的设计

摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对他的认识也逐步加深。人们也利用了电子技术以与相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手问答完成后,主持人将系统恢复至零。 关键词:控制电路; 报警电路; 定时电路

目录 摘要 (Ⅰ) 1绪论.............................................................................. 错误!未指定书签。 1.1设计背景.............................................................................. 错误!未指定书签。 1.2设计任务与要求.................................................................. 错误!未指定书签。2总体设计方案............................................................... 错误!未指定书签。 3 系统详细设计 (3) 3.1抢答器电路 (3) 3.2定时电路 (4) 3.3报警电路 (5) 3.4相关元器件的介绍 (5) 4安装与调试 (8) 4.1调试 (8) 4.2安装 (9) 5系统仿真与测试 (11) 5.1仿真步骤..................................................................... 错误!未指定书签。 5.2仿真结果与分析............................................................................................ 错误!未指定书签。6收获与体会............................................................................. 错误!未指定书签。元件清单.......................................................................... 错误!未指定书签。参考文献......................................................................错误!未指定书签。

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

数字电路抢答器设计报告

抢答器设计报告 成员: 集成电路1 学号: 通信工程5 学号: 学院:通信工程学院 2012年5月21日 数字抢答器

一课题设计概述及原理 1预期实现功能 (1)设计一个智力竞赛抢答器,可同时供4名选手或4个代表队参赛,他们的选号分别是1、2、3、4、各用一个抢答按钮,按钮的编号对应分别是S1、S2、S3、S4. (2)给节目主持人设置一个控制开关,用来控制系统的清零和抢答器的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(4)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 (5)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。

(6)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示0。 2 设计思路 二 单元程序或原理图设计及分析 1、顶层设计 原理图: VCC a91INPUT VCC b90INPUT VCC c89INPUT VCC d88INPUT VCC CLK50M INPUT VCC CLR25 INPUT VCC start INPUT speaker OUTPUT HA OUTPUT HB OUTPUT HC OUTPUT OB OUTPUT OA OUTPUT OC OUTPUT OD OUTPUT speaker13 OUTPUT OE OUTPUT OF OUTPUT OG OUTPUT A91B90C89D88CLK-50m CLR data[0]data[1]data[2]speaker lit4836_qiangdamokuai inst DATA[0]DATA[1]DATA[2]load0CLK PAUSE-button HA HB OA HC OB OC OD OE OF OG pin_name ljt4836_miaobiao inst8 C L R N D P R N Q D F F i n s t 1AND3 inst3 N O T i n s t 4

相关主题
文本预览
相关文档 最新文档