当前位置:文档之家› 电梯控制系统的设计

电梯控制系统的设计

电梯控制系统的设计
电梯控制系统的设计

论文编码:TP 273首都师范大学本科学生毕业论文

电梯控制系统的设计

Elevator control system design

院系信息工程学院

专业电子信息工程

年级2004级

学号1041000202

论文作者魏鹏磊

指导老师尚媛园

完成日期2008年5月6日

摘要

电子设计自动化(EDA)是一种实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。EDA的一个重要特征是使用硬件描述语言(HDL)来完成设计。超高速硬件描述语言(VHDL)是经IEEE和美国国防部确认的标准硬件描述语言,自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容。本文介绍了基于VHDL语言实现的电梯控制系统的设计,使用了状态机,并进行了软件和实验平台的仿真。该控制系统遵循方向优先的原则,提供楼层用户的载客服务并指示电梯的运行情况。说明了用VHDL 语言设计数字电路的方法以及VHDL 语言在数字电路设计仿真中的重要作用, 仿真结果表明VHDL 语言应用于数字电路仿真是切实可行的,该语言在电子设计领域受到了广泛的接受。

关键词:

EDA VHDL 电梯控制状态机

ABSTRACT

Electronic design automation (EDA) is a realization of an electronic system or electronic design automation products of technology, with electronic technology, microelectronic technology is closely related to the development, it has absorbed most of the field of computer science and the latest research results, as a high-performance computer Working platform to promote the development of the project. EDA is an important feature of the use of hardware description language (HDL) to complete the design. Super-high-speed hardware description language (VHDL) is the IEEE and the U.S. Department of Defense confirmed the standard hardware description language, since the publication of the IEEE VHDL version of the standard, IEEE-1076, the EDA companies have launched their own VHDL design environment, or to be declared Their design tools and VHDL interface. Since then VHDL gradually replace the original non-standard hardware description language. 1993, IEEE on VHDL was revised from a higher level of abstraction and system capacity expansion VHDL description of the contents. In this paper, based on VHDL language of the elevator control system design, the use of the state machine and a software platform for simulation and experiment. The control system to follow the direction of the principle of giving priority to provide the passenger service users floors and directed the operation of the lift. Note the use of VHDL digital circuit design methods and VHDL in digital circuit design to the important role of simulation, simulation results show that the VHDL language used in digital circuit simulation is practicable, the language in the field of electronic design has been widely accepted.

KEY WORDS:

EDA VHDL elevator control State Machine

目录

摘要..............................................................................................................I ABSTRACT......................................................................................................II 目录............................................................................................................III 第1章概述 (1)

1.1 EDA概述 (1)

1.1.1什么是EDA (1)

1.1.2 EDA的特点 (1)

1.1.3 EDA的应用 (3)

1.2 FPGA的简介及特点 (4)

1.3 VHDL语言及程序概述 (4)

1.3.1 VHDL语言的发展 (4)

1.3.2 VHDL语言的特点 (5)

1.3.3 VHDL语言程序的基本结构 (5)

1.4 状态机的简介 (6)

第2章电梯控制系统的分析 (8)

2.1 选题的意义 (8)

2.2 电梯控制的研究背景 (8)

2.3 我国电梯的发展概况 (9)

2.4 电梯设计的具体目的及控制要求 (10)

2.5 电梯控制器设计原理及思路 (10)

2.6 电梯控制系统状态图分析 (12)

第3章电梯控制系统的设计实现 (15)

3.1 MAX+PLUSII的介绍 (15)

3.2 电梯控制系统的VHDL语言设计及仿真 (15)

3.3 电梯控制系统的实验平台实现 (16)

结论与体会 (21)

致谢 (22)

参考文献 (23)

附录 (24)

第1章概述

1.1 EDA概述

1.1.1什么是EDA

EDA是Electronic Design Automation(电子设计自动化)的缩写。EDA技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化和仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC 芯片中,实现既定的电子电路设计功能。

EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。这个阶段发展起来的EDA工具,目的是在设计前期将原来设计师从事的许多高层次设计工作改由工具来完成,这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。

1.1.2 EDA的特点

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.“自顶向下”的设计方法。10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述

语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避燃计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.ASIC设计。现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这样就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可以分为全定制ASIC、半定制ASIC和可纪程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由厂家去进行格模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

可编程逻辑芯片与上述掩模ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

可编程逻辑器件自70年代以来,经历了PAL、GALGPLD、FPGA几个发展阶段,其中CPLD/FPGA高密度可编程逻辑器件,目前集成度已高达200万门/片,它将格模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。

3.硬件描述语言。硬件描述语言(HDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输人500至1000个门,而利用VHDL语言只需要书写一行“A=B+C”即可。而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL、HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL,1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。

VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了

以往各种硬件俄语言的功能,整个自顶向下或由底向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑艄设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。

1.1.3 EDA的应用

1. 将广泛应用于高校电类专业的实践教学工作中

各种数字集成电路芯片,用VHDL语言可以进行方便的描述,经过生成元件后可作为一个标准元件进行调用。同时,借助于VHDL开发设计平台,可以进行系统的功能仿真和时序仿真,借助于实验开发系统可以进行硬件功能验证等,因而可大大地简化数字电子技术的实验,并可根据学生的设计不受限制地开展各种实验。

对于电子技术课程设计,特别是数字系统性的课题,在EDA实验室不需添加任何新的东西,即可设计出各种比较复杂的数字系统,并且借助于实验开发系统可以方便地进行硬件验证。

自1997年全国第三届电子技术设计竞赛采用FPGA/CPLD器件以来,FPGA/CPLD已得到了越来越多选手的利用,并且给定的课题如果不借助于FPGA/CPLD器件可能根本无法实现。因此EDA技术将成为各种电子技术设计竞赛选手必须掌握的基本技能与制胜的法宝。

2. 将广泛应用于科研和新产品的开发中

由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,EDA技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上。这些特点使其将广泛应用于科研工作和新产品的开发工作中。

3. 将广泛应用于专用集成电路的开发

可编程器件制造厂家可按照一定的规格以通用器件大量生产,用户可按通用器件从市场上选购,然后按自己的要求通过编程实现专用集成电路的功能。因此,对于集成电路制造技术与世界先进的集成电路制造技术尚有一定差距的我国,开发具有自主知识产权的专用集成电路,已成为相关专业人员的重要任务。

4. 将广泛应用于传统机电设备的升级换代和技术改造

传统机电设备的电气控制系统,如果利用EDA技术进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值。

1.2 FPGA的简介及特点

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB (Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1.采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2.FPGA可做其它全定制或半定制ASIC电路的中试样片。

3.FPGA内部有丰富的触发器和I/O引脚。

4.FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5.FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

1.3 VHDL语言及程序概述

1.3.1 VHDL语言的发展

VHDL诞生于1982年,1987年底被IEEE 和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE-1076)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。

此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL

的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。

现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog语言将承担起几乎全部的数字系统设计任务。

VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件、一个电路模块或一个系统)分成外部(或称可视部分,即端口)和内部(或称不可视部分),即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

1.3.2 VHDL语言的特点

1.与其他的硬件描述语言相比,VHDL具有更强的行为描述能力。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

2. VHDL具有丰富的仿真语句和库函数,使得在任何大系统的设计早期,就能查验设计系统的功能可行性,随时可对系统进行仿真模拟,对整个工程的结构和功能可行性做出判断。

3.VHDL语句的行为描述能力和程序结构,决定了它具有支持大规模设计的分解和已有设计的再利用功能。VHDL中设计实体的概念、程序包的概念、设计库的概念为设计的分解和并行工作提供了有利的支持。

4.用VHDL完成一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动把VHDL描述设计转变成门级网表。

5.VHDL对设计的描述具有相对独立性。设计者可以不懂硬件的结构,也不必管最终设计的目标器件是什么,而进行独立的设计。

6.由于VHDL具有类属描述语句和子程序调用等功能,对于完成的设计,在不改变源程序的条件下,只需改变类属参量或函数,就能轻易地改变设计的规模和结构。

1.3.3 VHDL语言程序的基本结构

一个相对完整的VHDL程序(或称为设计实体)具有如图1-1所示的比较固定的结构。通常包含实体(Entity)、结构体(Architecture)、配置(Configuration)、程序包(Package)

和库(Library)5个部分。

其中,库、程序包使用说明用于打开(调用)本设计实体将要用到的库、程序包,程序包存放各个设计模块共享的数据类型、常数和子程序等;库是专门存放预编译程序包的地方。实体用于描述所设计的系统的外部接口信号,是可视部分;结构体用于描述系统内部的结构和行为,建立输入和输出之间的关系,是不可视部分。在一个实体中,可以含有一个或一个以上的结构体,而在每一个结构体中又可以含有一个或多个进程以及其他的语句。根据需要,实体还可以有配置说明语句。配置说明语句主要用于以层次化的方式对特定的设计实体进行元件例化,或是为实体选定某个特定的结构体。

如何才算一个完整的VHDL程序(设计实体),并没有完全一致的结论,因为不同的程序设计目的可以有不同的程序结构。通常认为,一个完整的设计实体的最低要求应该能为VHDL综合器所接受,并能作为一个独立设计单元,即以元件的形式存在的VHDL程序。

1.4 状态机的简介

状态机(State Machine)是一类很重要的时序电路,是很多数字电路的核心部件,是大型电子设计的基础。状态机相当于一个控制器,它将一项功能的完成分解为若干步,每一步对应于二进制的一个状态,通过预先设计的顺序在各状态之间进行转换,状态转换的过程就是实现逻辑功能的过程。

状态机有摩尔(Moore)型和米立(Mealy)型两种。Moore型状态机的输出信号只与当前状态有关;Mealy型状态机的输出信号不仅与当前状态有关,还与输入信号有关。

状态机可认为包括两个主要部分:组合逻辑部分和寄存器部分。寄存器部分用于存储状态机的内部状态;组合逻辑部分又分为状态译码器和输出译码器,状态译码器确定状态机的下一个状态,即确定状态机的激励方程,输出译码器确定状态机的输出,即确定状态机的输出方程。

状态机的基本操作有两种:

(1) 状态机内部状态转换。状态机经历一系列状态,下一状态由状态译码器根据当前状态和输入条件决定。

(2) 产生输出信号序列。输出信号由输出译码器根据当前状态和输入条件决定。

第2章电梯控制系统的分析

2.1 选题的意义

随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速发展。一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统、微机控制系统、FPGA/CPLD控制系统。目前国内七八十年代安装的许多电梯用继电器接触器控制系统,线路复杂,接线多,故障率高,维修保养难,许多已处于闲置状态,其拽引系统多采用交流双速电机系统换速,效率低,调速性能指标较差,严重影响电梯运行质量。由于这些电梯交流调压调速系统,交流双速电机拖动系统性能及乘坐舒适感较差,交流调压调速系统属能耗型调速的机械部分无大问题,为节约资金,大部分老式电梯用户希望对电梯控制系统进行改造,提高电梯的运行性能。因此对电梯控制技术进行研究,寻找适合电梯的控制系统具有十分重要的意义。

2.2电梯控制的研究背景

电梯作为高层建筑物的重要交通工具与人们的工作和生活日益紧密联系。电梯的三种主要控制方式中,继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD 控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 FPGA作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一。 FPGA是一种专门从事逻辑控制的微型计算机系统。由于FPGA具有性能稳定、抗干扰能力强、设计配置灵活等特点。因此在工业控制方面得到了广泛应用。自90年代后期FPGA引入我国电梯行业以来,由FPGA组成的电梯控制系统被许多电梯制造厂家普遍采用,并形成了一系列的定型产品。在传统继电器系统的改造工程中,FPGA系统一直是主流控制系统。电梯控制系统分为调速部分和逻辑控制部分。调速部分的性能对电梯运行是乘客的舒适感有着重要影响,而逻辑控制部分则是电梯安全可靠运行的关键。为了改善电梯的舒适感和运行的可靠性,现在都改为用FPGA来控制电梯的运行,这样大大提高了电梯的性能。 FPGA是可编程逻辑器件,

它是在PAL、GAL等逻辑器件的基础之上发展起来的。同以往的PAL, GAL.等相比较,FPGA 的规模比较大,适合于时序、组合等逻辑电路应用场合,它可以替代几十甚至上百块通用IC芯片。这样的FPGA实际上就是一个子系统部件。这种芯片具有可编程性和实现方案容易改动的特点。FPGA芯片及其开发系统问世不久,就受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。

2.3 我国电梯的发展概况

1854年,在纽约水晶宫举行的世界博览会上,美国人伊莱沙·格雷夫斯·奥的斯第一次向世人展示了他的发明-历史上第一部安全升降梯。从那以后,升降梯在世界范围内得到了广泛应用。以奥的斯的名字而命名的电梯公司也开始了她辉煌的旅程。150年以来,她已经发展成为世界、亚洲和中国领先的电梯公司。

生活在继续,科技在发展,电梯也在进步。电梯的材质由黑白到彩色,样式由直式到斜式,在操纵控制方面更是步步出新-手柄开关操纵,按钮控制,信号控制,集选控制、人机对话等,多台电梯还出现了并联控制,智能群控;双层轿箱电梯展示出节省井道空间,提升运输能力的优势,变速式自动人行道扶梯大大节省了行人的时间;不同外形的扇形、三角形、半棱形、圆形观光电梯则使身处其中的乘客的视线不再封闭。

一个半世纪的风风雨雨,翻天覆地的是历史的变迁,永恒不变的是电梯提升现代人生活质量的承诺。

据统计,我国在用电梯34.6多万台,每年还以约5万~6万台的速度增长。电梯服务中国已有100 多年历史,而我国在用电梯数量的快速增长却发生在改革开放以后,目前我国电梯技术水平已与世界同步。

100多年来,中国电梯行业的发展经历了以下几个阶段:

1.对进口电梯的销售、安装、维保阶段(1900~1949年),这一阶段我国电梯拥有量仅约1 100多台。

2.独立自主,艰苦研制、生产阶段(1950~1979年),这一阶段我国共生产、安装电梯约1万台。

3.建立三资企业,行业快速发展阶段(自1980年至今),这一阶段我国共生产、安装电梯约40万台。

目前,我国已成为世界最大的新装电梯市场和最大的电梯生产国。

2002年,中国电梯行业电梯年产量首次突破6万台。中国电梯行业自改革开放以来第3次发展浪潮正在掀起。第1次出现在1986~1988年,第2次出现在1995~1997年。

2.4 电梯设计的具体目的及控制要求

使用FPGA完成3层的电梯控制系统。可以使用状态机实现。要求指示电梯所在楼层位置等其它必要的信号。通过仿真结果验证其正确性,并在开发板上进行硬件测试。

系统的要求如下:

(1)电梯共有3层。电梯运行规则:上升时:响应比当前位置高的上楼要求,由下往上逐个执行;如果高层有下楼请求,直接升到由此请求的最高层,然后进入下降模式。进入下降模式后,只响应比当前位置低的下楼请求,由上到下逐个执行。电梯有3层,每1秒上升或者下降1层。

(2)有信号灯指示电梯处于上升或者下降状态,并由数码管显示电梯到达层数。

(3)每一层有信号灯指示该层电梯门状态,有两个按键分别响应上升或者下降的请求(4)每一层电梯内部有乘客到达楼层的停站请求开关及其显示

(5)电梯到达有停站请求的楼层后,电梯门打开,指示灯亮,4秒后电梯门关闭,指示灯灭,直至执行完。最后停在发出最后一个请求的楼层。

(6)电梯的初始位置为一层,处于开门状态。

2.5 电梯控制器设计原理及思路

根据电梯控制设计的具体要求,应定义输入信号如下:

系统时钟信号:CLK;

系统复位信号:RESET;

电梯门外一层、二层的上楼请求开关:UPl、UP2;

电梯门外二层、三层的下楼请求开关:DOWN2、DOWN3;

电梯内部到达楼层的停站请求开关:STOPl、STOP2、STOP3。

所有输入信号的规定为:输入信号等于1,表示有请求,信号等于0,表示无请求。

定义输出信号如下:

电梯外部上升和下降请求指示灯:UPLIGHT和DOWNLIGHT,这些信号与UPl、UP2、DOWN2、DOWN3信号相对应;

电梯内部乘客到达楼层的停站请求灯:STOPLIGHT,该信号与STOPl、STOP2、STOP3信号相对应;

电梯运行模式指示:UDSIGNAL:1为下降指示,0为上升指示。

电梯所在楼层指示:POSITION;

电梯门状态指示:DOORLIGHT:1为开门指示,0为关门指示。

定义电梯工作状态如下:

初始状态STOPOPEN1、开门状态DOOROPEN、关门状态DOORCLOSE、开门等待第1秒WAIT1、开门等待第2秒WAIT2、开门等待第3秒WAIT3、开门等待第4秒WAIT4、上升状态UP、下降状态DOWN和停止状态STOP。在每个状态下,判断输入信号的请求,转入下一状态且产生对应的输出信号。

以下为电梯的状态转换图:

2.6 电梯控制系统状态图分析

图2-1

图2-1为电梯初始状态。电梯经过复位按键RESET,进入初始状态,到达一层后开门,转入DOOROPEN状态。

图2-2

图2-2为电梯开门之后经过wait1、wait2、wait3、wait4状态之后关闭电梯门,实现了开门4秒后关门的功能。

图2-3

图2-4

图2-3、2-4中电梯进入关门状态DOORCLOSE,并开始判断电梯处于上升或下降状态,如电梯无任何请求,则维持DOORCLOSE状态直到有请求信号。

如电梯在三层并处于上升状态,则收到任何请求信号时,电梯进入下降状态DOWN。

如电梯在二层并处于上升状态,则收到一、二层请求信号时,电梯进入下降状态DOWN;收到三层请求信号时,电梯进入上升状态UP。

如电梯在一层并处于上升状态,则收到任何请求信号时,电梯进入上升状态UP。

如电梯在三层并处于下降状态,则收到任何请求信号时,电梯进入下降状态DOWN。

如电梯在二层并处于下降状态,则收到一层请求信号时,电梯进入下降状态DOWN;收到二、三层请求信号时,电梯进入上升状态UP。

如电梯在一层并处于下降状态,则收到任何请求信号时,电梯进入上升状态UP。

图2-5

图2-5中当电梯进入状态DOWN,则向下一层并判断是否到达停站请求楼层,如已到达目的层,则电梯转入停止状态STOP;如未到达目的层,则持续DOWN状态再向下一层并判断是否到达停站请求楼层,如此循环直到到达目的楼层。

图2-6

图2-6中当电梯进入状态UP,则向上一层并判断是否到达停站请求楼层,如已到达目的层,则电梯转入停止状态STOP;如未到达目的层,则持续UP状态再向上一层并判断是否到达停站请求楼层,如此循环直到到达目的楼层。

图2-7

图2-7中当电梯转入停止状态STOP,则马上清除所到层的呼叫请求及指示灯,并进入开门状态DOOROPEN。

第3章电梯控制系统的设计实现

3.1 MAX+PLUSII的介绍

Altera公司的MAX+PLUSⅡ开发系统是一个完全集成化、易学易用的可编程逻辑设计环境,它可以在多种平台上运用。它所提供的灵活性和高效性是无可比拟的。其丰富的图形界面,辅之以完整的、可及时访问的在线文档,使学生能够轻松掌握和使用MAX+PLUS Ⅱ软件。

MAX+PLUSⅡ软件支持各种HDL设计输入选项,包括VHDL、VerilogHDL和Altera自己的硬件描述语言AHDL,它允许设计人员添加自己认为有价值的宏函数。 MAX+PLUSⅡ系统的核心Compiler支持Altera公司的FLEX10K、FLEX8000、FLEX6 000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件系列,提供了商业界唯一真正与结构无关的可编程逻辑设计环境。MAX+PLUSⅡ的编译器还提供了强大的逻辑综合与优化功能,使用户比较容易地将设计集成到器件中。

设计输入:MAX+plus II软件的设计输入方式有多种,主要包括原理图输入方式、文本输入方式、波形设计输入方式、层次设计输入方式和底层设计输入方式。因此,设计人员可以根据自己的实际情况灵活选择使用。

设计编译:MAX+plus II编译一个设计时,Compiler在设计文件中读取信息并产生编程文件和仿真文件,Message Processor(信息处理程序)可自动定位错误。

设计校验:设计校验过程包括设计仿真和定时分析,仿真起的作用是测试逻辑操作和设计功能的完备性;Timing Analyzer(定时分析程序)可分析设计的定时和延时情况。

器件编程:MAX+plus II Programmer是使用Compiler生成的编程文件对Altera器件进行编程的,它可以用来对器件编程、校验和试验,是对设计功能进行的测试。Altera公司器件的编程方法有许多种,可根据具体情况选择使用。编译生成的配置文件经计算机并行通信口接到Altera专用编程电缆上,再接到器件的编程接口,利用应用软件提供的编程软件,Programmer即可对器件进行配置。这种方法的优点是配置方便、迅速,便于修改。

3.2 电梯控制系统的VHDL语言设计及仿真

初始状态电梯处于2层开门。当电梯收到2层外部请求信号DOWN2时,电梯运行到2层,开门之后再关门。当电梯收到3层内部请求信号,电梯运行到3层,开门之后再关门。电梯继续收到1层外部请求信号,电梯下降至1层,开门之后再关门。如图3-1。

图3-1

3.3 电梯控制系统的实验平台实现

本设计所用实验平台为CIC-310 CPLD/FPGA Development System 智能型可编程数字开发系统,芯片为Altera公司的EPF10KLC84-4。如图3-2。

图3-2

系统时钟CLK频率采用1KHz,经分频进程产生电梯状态控制时钟和按键控制时钟。其中电梯状态控制时钟是系统时钟CLK经210分频得到频率约等于1Hz的时钟。

按键请求信号输入使用4×4矩阵键盘。

其中内部请求信号STOP1 对应 SWE

STOP2 对应 SWD

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

电梯的电气控制系统设计与实现

编订:__________________ 审核:__________________ 单位:__________________ 电梯的电气控制系统设计 与实现 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-7382-100 电梯的电气控制系统设计与实现 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

电梯的电气控制系统设计与实现(通用版)

Safety is the goal, prevention is the means, and achieving or realizing the goal of safety is the basic connotation of safety prevention. (安全管理) 单位:___________________ 姓名:___________________ 日期:___________________ 电梯的电气控制系统设计与实现 (通用版)

电梯的电气控制系统设计与实现(通用版)导语:做好准备和保护,以应付攻击或者避免受害,从而使被保护对象处于没有危险、不受侵害、不出现事故的安全状态。显而易见,安全是目的,防范是手段,通过防范的手段达到或实现安全的目的,就是安全防范的基本内涵。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发周期短,对机械零部件和电器元件都采取了很大的安全系数和保险系数。电梯的控制是相对比较复杂的,PLC可编程控制器把机械与电气部件有机地结合在一个设备内,把仪表、电子和计算机的功能综合在一起,使得

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

四层电梯控制系统设计-

四层电梯控制系统设计-

————————————————————————————————作者:————————————————————————————————日期:

电气与电子信息学院 课程设计说明书 课程名称:电气控制技术与PLC课程设计题目:四层电梯控制系统设计 专业:电气工程及其自动化

年级:2014 学生: 学号: 指导教师: 完成日期:2018年 1 月 5 日 四层电梯控制系统设计 摘要:本设计采用FX2设计了四层电梯的控制系统,详细进行了参数计算,空气开关、接触器等诸多电器的选型,对主电路、控制回路进行了接线与保护。 控制PLC系统FX2N由于体积小,重量轻,能耗低,运行可靠性高,抗干扰能力强,使用维修方便,系统的设计、安装、调试工作量小,容易改造,设计和调试周期较短等优点被我们选择,在控制过程分析基础之上采用或顺序控制法编写了梯形图程序,程序调试通过,实现了控制要求。最终在易控组态的的开发环境上我们模拟成功了四层电梯的控制。 关键词:PLC ,四层电梯, FX2N

目录 1前言.................................................. 错误!未定义书签。2总体方案设计 .......................................... 错误!未定义书签。 2.1 方案1.............................................. 错误!未定义书签。 2.2 方案2 (2) 2.3 方案选择............................................ 错误!未定义书签。3硬件设计.. (3) 3.1电梯简介 (3) 3.1.1 电梯的发展简史 (3) 3.1.2 电梯系统的基本结构 ....................................... 错误!未定义书签。 3.1.3电梯控制系统的组成 (5) 3.2硬件选择 (5) 3.3三菱FX2N型PLC (6) 3.3.1 基本介绍 (6) 3.3.2 基本指令系统特点 (7) 3.3.3 FX2N产品的编程原件及其功能 (7) 3.4主电路图与接线图 (10) 3.4.1 主电路图 (10) 3.4.2 电梯控制信号原理 (11) 3.4.3 I/O分配表 (12) 3.4.4 PLC端口接线图 (13) 3.5控制面板设计 (14) 4软件设计 (15)

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

基于PLC系统电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

相关主题
文本预览
相关文档 最新文档