当前位置:文档之家› 数电实验讲义

数电实验讲义

数电实验讲义
数电实验讲义

实验一 门电路逻辑功能测试及逻辑变换

一、实验目的:

1.掌握TTL 与非门、或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL 中、小规模集成电路的外型、管脚和使用方法。 3. 熟悉逻辑功能的变换。

二、实验仪器及器件:

1.数字电路实验箱 1台 2.二输入四与非门74LS00 1片 3. 二输入四或非门74LS28 1片 4. 二输入四异或门74LS86 1片 5.数字万用表 1块

三、实验预习:

1.复习各种门电路的逻辑符号、逻辑函数式、真值表。

2.查出实验所用集成电路的外引脚线排列图,熟悉其引脚线位置及各引脚线用途。

四、实验原理:

1.测试门电路的逻辑功能

⑴ 与非门的逻辑功能:有0出1,全1出0。 与非门的逻辑函数式:Y=AB

74LS00为二输入四与非门, 即在一块集成块内含有四个互相独立的与非门,每个与

非门有2个输入端。如下图所示。

⑵ 或非门的逻辑功能:有1出0,全0出1。 或非门的逻辑函数式:Y=A+B

74LS28为二输入四或非门, 即在一块集成块内含有四个互相独立的或非门,每个或非门有2个输入端。

Vcc 4B 4A 4Y 3B 3A 3Y

1A

1B

1Y

2A

2B

2Y

GND

00 四2输入与非门

V DD

A4

B4

Y4

Y3

B3

A3

A1

B1

Y1

Y2

B2

A2

V SS

4001 四2入或非门

⑶异或门的逻辑功能:相同出0,相反出1。

异或门的逻辑函数式:Y=A⊕B=AB+AB

74LS86为二输入四异或门,即在一块集成块内含有四个互相独立的异或门,每个异或门有2个输入端。如图(c)所示。

2.门电路的逻辑变换:就是用与非门等组成其它门电路。

方法:先对其它门电路的函数式用摩根定理等公式变换成与非式,再画出相应逻辑图,然后用与非门实现之。

五、实验内容:

实验前先检查实验箱电源是否正常,然后选择实验用的集成电路,按接线图连线。特别注意V cc及地线不能接错。线接好后经指导教师检查无误方可通电。实验中改动接线必须先断开电源,接好线后再通电实验。

1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

任选二个输入端A、B接电平开关(K1~K16中任选二个),另外的输入端悬空,分别测出各种输入状态下输出逻辑状态和输出电压填入表1中。

⑵测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。

任选二个输入端A、B接电平开关,另外的输入端接地,分别测出各种输入状态下输出逻辑状态和输出电压填入表2中。

⑶测试出二输入四异或门74LS86,一个异或门的输入和输出之间的逻辑关系。

任选二个输入端A、B接电平开关,分别测出各种输入状态下的输出状态和输出电压填入表3中。

2.门电路的逻辑变换

⑴用与非门构成或门,测出其真值表加以验证:

A ,画出逻辑电路图,并在图中标明

用一片74LS00与非门构成或门Y1=A+B=B

芯片引脚号,按图接好线后,测试并填入表4中。

⑵用与非门74LS00构成同或门:(选做)

将同或门函数式转化为与非门函数式Y2,画出逻辑图,并在图中标明芯片引脚号,按图接线,测试并填入表4中。

六、实验提示:

1.将被测器件插入实验台上的14芯插座中。

2.将器件的引脚7与实验台的“地”(GND)连接,将器件的引脚14与实验台的+5V

连接。

3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。

4.将被测器件的输出引脚与实验台上的电平指示灯连接。指示灯亮表示输出电平为1,

指示灯灭表示输出电平为0。

七、实验报告内容:

1.实验目的、实验中所使用的器件引脚图;按各步骤要求填表。

2.回答问题:

⑴怎样判断门电路逻辑功能是否正常?

⑵由实验结果说明控制门的作用。

(3)讨论TTL与非门闲置输入端的处理方法。

实验二译码器的逻辑功能

一、实验目的

1、学会正确使用中规模集成芯片(MSI)组合逻辑电路,掌握译码器的应用,学会测

试其逻辑功能。

2、学习集成芯片使能端的功能、用法。

3、学会利用译码器实现逻辑函数的使用方法。

4、学习用仪器检测故障,排除故障。

5、学习集成译码器的扩展方法。

二、实验设备及器件

1、数字电路实验箱 1台

2、74LS139 双2线-4线译码器1片

3、万用表、若干连线。

4、74LS00 二输入四与非门1片

三、实验原理

译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。

译码器:实现译码功能的电路。译码器特点:输入是以n位二进制代码形式出现,输出是与之对应的电位信息。

译码器分类:通用译码器:二进制、二─十进制译码器。

集成译码器是一种具有特定逻辑功能的组合逻辑器件,本实验以双2线—4线译码器74LS139为主,通过实验进一步掌握集成译码器。

1. 74LS139管脚及功能

由功能表可知:

(1)使能端(G=1)时,四个译码输出都是无效电平,即输出全为高电平“1”;

(2)使能端(G=0)时,译码器四个输出中仅与输入对应的一个输出端为有效低电平“0”,其余输出无效电平“1”;

(3)在使能条件下,每个输出都是输入变量的最小项,因而输出函数可写成最小项的形式。但应考虑到芯片是输出低电平有效,需要转换。

2.用74LS139和门电路实现组合电路

逻辑变量作为译码器输入变量,即可用74LS139和与非门实现逻辑函数。

四、实验内容

完成以下测试。

(1)测试2线—4线译码器74LS139的逻辑功能(列出真值表验证之)。4个译码输出引脚Y0—Y3接电平指示灯,改变引脚G、B、A的电平,产生相应输出信号。

观测并记录指示灯的显示状态。

(2)用双2线—4线译码器74LS139扩展为3线—8线译码器,画出接线图,列出真值表,接线并验证真值表。

AB,画出接线图,并在图中标明(3)用74LS139构成逻辑函数发生器F=A B C+C

芯片引脚号,列出真值表,接线并验证真值表。

五、预习要求:

1、提前预习实验内容及相关知识;

2、复习译码器的工作原理。

3、熟悉译码器74LS139各引脚功能和使用方法,列出真值表,画出所要求的具体实

验线路图。

4、对于选做实验,自行设计电路。列出必要的真值表、表达式,画出接线图。

六、实验报告:

1. 对各项实验列真值表,画接线图和标出集成块引脚号。

2. 分析实验中出现的问题。总结译码器的使用体会。

3. 思考如何用74LS139实现三人表决电路,写出分析过程。

实验三数据选择器的逻辑功能

一、实验目的

6、学会正确使用中规模集成芯片(MSI)组合逻辑电路,掌握数据选择器的应用,学

会测试其逻辑功能。

7、学习集成芯片使能端的功能、用法。

8、学会利用数据选择器实现逻辑函数的使用方法。

9、学习用仪器检测故障,排除故障。

10、学习集成数据选择器的扩展方法。

二、实验设备及器件

1、数字电路实验箱 1台

2、74LS153 双四选一数据选择器1片

3、万用表、若干连线。

三、实验内容

完成以下测试。

(1)测试74LS153中一个四选一数据选择器的逻辑功能(列出真值表验证之)。

4个数据输入引脚C0—C3接逻辑开关,改变引脚B、A的电平,观测并记录指示灯的显示状态。

(2)用双四选一数据选择器74LS153扩展为八选一数据选择器,画出接线图,列出真值表,接线并验证真值表。

AB,画出接线图,并在图中标明(3)用74LS153构成逻辑函数发生器F=A B C+C

芯片引脚号,列出真值表,接线并验证真值表。

四、预习要求:

5、提前预习实验内容及相关知识;

6、复习数据选择器的工作原理。

7、熟悉数据选择器74LS153各引脚功能和使用方法,列出真值表,画出所要求的具

体实验线路图。

8、对于思考题,列出真值表、写出表达式,先自行设计电路。

五、实验报告:

1. 对各项实验列真值表,画接线图和标出集成块引脚号。

2. 分析实验中出现的问题。总结数据选择器的使用体会。

3. 思考如何用74LS153实现三人表决电路,写出分析过程,画出连线图,填充相应

真值表。

实验四触发器的逻辑功能测试

一、实验目的:

1.掌握基本RS、D、JK触发器逻辑功能及其测试方法。

2.熟练掌握触发器的基本性质:2个稳态和触发翻转。

3.了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及其触发特点。

4. 学会正确使用集成触发器。

5. 学会不同触发器间相互转换的方法。(选做)

二、实验仪器及器件:

1.数学电路实验箱1台

2.双踪示波器1台

3.万用表1块

4.器件:

74LS74 双D触发器1片

74LS73 双JK触发器1片

74LS00 四2输入与非门1片

三、实验预习:

1.对所用的集成触发器查出外引线排列图,了解集成块各管脚作用。

2.复习RS、D、JK触发器的逻辑功能(包括:逻辑符号、真值表、特性方程、时序图)及不同触发器之间相互转换的方法。

四、实验原理:

触发器是组成时序电路的最基本单元,也是数字逻辑电路中另一种重要的单元电路,它在数字系统和计算机中有着广泛的应用。触发器有集成触发器和门电路(主要是“与非门)组成的触发器。按其功能可分为有RS触发器、JK触发器、D触发器、T和T’功能等触发器。触发方式有电平触发和边沿触发两种。

1.触发器是具有记忆功能能存储数字信息的最常用的一种基本单元电路,是构成时序逻辑电路的基本逻辑部件。触发器具有两个稳定的状态:0状态和1状态;在适当

触发信号作用下,触发器的状态发生翻转,即触发器可由一个稳态转换到另一个稳

态。当输入触发信号消失后,触发器翻转后的状态保持不变(记忆功能)。

2.根据电路结构和功能的不同,触发器有RS触发器、D触发器、JK触发器、T触发器、T/触发器等类型。下面将它们的逻辑功能的表示方法列于下表中:

D D D D 器置0;1=D R ,0=D ,则触发器置1;其作用不受CP 脉冲的控制。

3.集成触发器的主要产品是D 触发器和JK 触发器,其他功能的触发器可由D 、JK 触发器

进行转换。将D 触发器的D 端连到其输出端Q ,就构成T /触发器。将JK 触发器的J 、K 端连在一起输入信号,就构成T 触发器;J 、K 端连在一起输入高电平(或悬空),就构成T /触发器。(选做)

五.实验内容:

1.基本RS 触发器功能测试:

⑴ 将两个TTL 与非门首尾相连构成基本RS 触发器,如图1所示。S 、R 端接电平开

关输出,Q 、Q 端接电平指示灯,改变S 、R 的电平,观测并记录Q 、Q 的值。 ⑵ 将图中S 、R 端接电平开关,Q 、Q 端接电平显示灯。按表1顺序在S 、R 端加信号,

观察并记录Q 、Q 端状态填入表1中,并说明其逻辑功能。

⑶ 当S 、R 都接低电平时,观察Q 、Q 端的状态。当S 、R 同时由低电平跳为高电平时,

注意观察Q 、Q 端,重复3~5次,看Q 、Q 端的状态是否相同,以正确理解“不定”

状态含义。

图1 图2 图3

2.D 触发器功能测试:

⑴ 双D 触发器74LS74逻辑符号如图2所示。 ⑵ 将图中D S 、D R 、D 、CP 端接电平开关,Q 、Q 端接电平显示灯。按表2顺序输入信号,观察并记录Q 、Q 端状态填入表中,并说明其逻辑功能。

3.JK 触发器功能测试:

⑴ 双JK 触发器74LS73的逻辑符号如图3所示。

⑵ 将图中D S 、D R 、J 、K 、CP 端接电平开关,Q 、Q 端接电平显示灯,按下表的

Q Q

4.触发器功能转换:(选做)

⑴将D触发器和JK触发器转换成T/触发器,列出表达式,画出这两个实验电路图。

S=D R=1(悬空),在CP端输入连续脉冲(f=1KHZ),用示波器同时观察并记录Q

⑵令D

及CP端波形,自拟相应表格,比较两者关系(两个电路都要观察)。

六、实验报告:

1.画出各实验线路图(标出集成块引脚号)及实验数据记录表,写出各触发器特性方程。

2.总结各类触发器的特点。

实验五计数器的逻辑功能

一、实验目的:

1.掌握集成计数器的逻辑功能测试方法及其应用。

2.运用集成计数器构成任意进制计数器。

二、实验仪器和器件:

1.数字电路实验箱1台

2.示波器1台

3.器件:

74LS162 同步4位BCD计数器2片

74LS00 2输入四与非门1片

三、实验预习:

1.复习教材中有关集成块74LS162的内容,了解其逻辑功能。查出其外引线排列图,了解各管脚的作用。

2.复习用74LS162构成任意进制计数器的两种方法(反馈归零法和反馈置数法)。

四、实验原理:

计数器是一个用以实现计数功能的时序逻辑部件,它不仅可以用来对脉冲进行计数,还常用做数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。

计数器的种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分有:同步计数器和异步计数器;根据计数进制的不同分为:二进制、十进制和任意进制计数器;根据计数的增减趋势分为:加法、减法和可逆计数器;还有可预置数和可编程功能计数器等。目前,TTL和CMOS集成计数器都有较齐全的品种。使用者只需借助于器件手册提供的功能表和引脚排列图,就能正确地运用这些器件。

74LS162管脚图和功能表:

74LS162是同步4位BCD计数器,具有下述功能:

①异步清零:CR=1,Q3Q2Q1Q0=0000

②异步置数:CR=0,LD=0,Q3Q2Q1Q0=D3D2D1D0

③保持:CR=0,LD=1,CP U=CP D=1,Q3Q2Q1Q0保持原态

④加计数:CR=0,LD=1,CP U=CP,CP D=1,Q3Q2Q1Q0按加法规律计数

利用集成计数器芯片可方便地构成任意(N)进制计数器。

方法:

①反馈归零法:是利用计数器清零端的清零作用,截取计数过程中的某一个中间状态

控制清零端,使计数器由此状态返回到零重新开始计数。把模数大的计数器改成模

数小的计数器。

关键:是清零信号的选择与芯片的清零方式有关。异步清零方式以N作为清零信号或反馈识别码,其有效循环状态为0~N-1;同步清零方式以N-1作为反馈识别码,

其有效循环状态为0~N-1。还要注意清零端的有效电平,以确定用与门还是与

非门来引导。

②反馈置数法:是利用具有置数功能的计数器,截取从N b到N a之间的N个有效状态

构成N进制计数器。其方法是当计数器的状态循环到N a时,由N a构成的反馈信

号提供置数指令,由于事先将并行置数数据输入端置成了N b的状态,所以置数指

令到来时,计数器输出端被置成N b,再来计数脉冲,计数器在N b基础上继续计数

直至N a,又进行新一轮置数、计数。

关键:是反馈识别码的确定与芯片的置数方式有关。异步置数方式以N a =N b+N作为反馈识别码,其有效循环状态为N b~N a;同步置数方式以N a =N b+N-1作为反馈识别

码,其有效循环状态为N b~N a。还要注意置数端的有效电平,以确定用与门还是

与非门来引导。

五、实验内容:

1.测试集成计数器74LS162的逻辑功能:

⑴按芯片引脚图将CR、LD、D3、D2、D1、D0端接电平开关,Q3、Q2、Q1、Q0端接

电平显示灯。测试Q3~Q0状态填入表1中,并说明逻辑功能。

表1

⑵将CR=0、LD=1,Q3、Q2、Q1、Q0端接电平显示灯、并同时分别接译码显示电路“8、

4、2、1”插孔上,CP U、CP D分别输入连续脉冲(f=1HZ),观察数码管数字的变化,

同时记录Q3~Q0状态填入表2。

2.用74LS192构成任意进制计数器:

⑴反馈归零法:

①用一片74LS162和一片74LS00组成六进制计数器。按图2接线,将Q3、Q2、Q1、

Q0端接电平显示灯、并同时分别接到译码显示电路“8、4、2、1”插孔上,CP U输

入连续脉冲(f=1HZ),观察数码管数字的变化,同时记录Q3~Q0状态填入表4中。

②CP U端输入连续脉冲(f=1KHZ),用双踪示波器同时观测并记录CP和Q2的波形,比

较其频率。

图2

⑵反馈置数法:自行设计,用一片74LS162和一片74LS00组成六进制计数器。

(3)任选一种方法,用两片74LS162和一片74LS00组成六十进制计数器。(选做)。

六、实验报告:

1.画出测试电路(标出集成块引脚号)和实验波形及数据表、分析测试结果。

2.设计构成六进制计数器的两种方法,并画出相应的状态图。

3.讨论实验中遇到的问题。

综合实验

实验一循环灯电路(选做)

一、实验任务和目的

l、实验任务

设计并组装产生循环灯所需的下列状态序列的电路。

2、实验目的

(1)熟悉双向移位寄存器的工作原理、集成电路的使用方法和使能端的作用。

(2)学习设计和组装特殊状态序列的移位寄存器(计数器)。

(3)学习分析和排除故障。

二、实验预习

设计实验任务所要求实现的电路。其方框图见下图所示。选定器件型号。画出安装图。

用寄存器的每一位控制一组灯。各组灯布置成各式各样的图案。由于寄存器具有不同的状态,点亮的灯光就形成多种多样的美丽的画面。寄存器的状态不断地循环变化,又给这些图案添加了动感。因此,设计最佳的寄存器状态序列,就会形成动人的灯光循环。

而在其中,数字电路的任务就是提供循环灯所需的状态序列。方法之一,就是用双向移位寄存器与一个次态逻辑电路来产生,如图所示。这个次态逻辑电路以寄存器的并行输出Q3、Q2、Q1、Q0为自变量。函数是M、D SL和D SR。其中,M控制寄存器的移位方向,M=1,寄存器左移;M=0,右移。D SL是左移串行输入;D SR是右移串行输入。由现态(第n拍)和次态(第n+l拍)的Q3Q2Q1Q0,可确定寄存器应向左移还是向右移,串行输入应该是1 还是0。从而列出真值表,画出次态逻辑电路,实现预期的状态序列。例如,Q0 Q1 Q2Q3现态为1000,要求次态为0100,则寄存器中的数码应右移,M=0,右移串行输入D SR=0,左移串行输入D SL无关。也就是说,当Q0=1,Q1 =0,Q2=0 ,Q3=0时,M=0,D SR=0,D SL=无关。依同理,分析Q0 Q1 Q2Q3的16个组合,就可列出真值表。

三、实验内容

组装产生循环灯所需状态序列的电路。测试其功能,研究各使能端的作用。分析并排除可能出现的故障。

四、实验报告

1.实验电路及其工作原理。

2.说明各使能端的作用。

3.测试结果。

4.分析与排除故障的收获。

实验二计数、译码、显示综合实验(选做)

一、实验目的

1.熟悉中规模集成电路计数器的功能及应用。

2.熟悉中规模集成电路译码器的功能及应用。

3.熟悉LED数码管及显示电路的工作原理。

4.学会综合测试的方法。

二、实验仪器及设备

1.数字逻辑实验箱TDS 1台

2.万用表1只

3.元器件:74LS90 2块

74LS49(或74LS249)l块

导线若干

三、实验线路图(参考)

四、实验内容(简单实验步骤、实验数据及波形)

用集成计数器74LS90分别组成8421码十进制和六进制计数器,然后连接成一个60进制计数器(6进制为高位、10进制为低位)。其中10进制计数器用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理),6进制计数器由自行设计、安装的译码器。数码管电路显示,这样组成一个60进制的计数、译码、显示电路。用实验箱上的低频连续脉冲(调节频率为1-2HZ)作为计数器的计数脉冲,通过数码管观察计数、译码、显示电路的功能为正确。

五、实验结果分析

l、简要说明数码管自动计数显示的情况:该计数器从00递增加1,直到59后,又回到00状态。

2、根据实验中的体会,说明综合测试较复杂中小规模数字集成电路的方法:分单元电路

安装、调试。

六、思考题

如果60进制计数器采用高位接10进制、低位接6进制的方式,计数顺序如何变化?

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

电工基础实验报告

作者: 日期:

电工学 实验报告 实训时间:2012/3/26 指导老师: ________ 班级:_1_ 姓名: _________ 学号:11

I 1 =14 I 2=15 图中有两个节点A 和D 根据基尔霍夫定律(KCL )节点个数n=2,支路个数b=3 广州大学给排水工程专业学生实验报告 成 绩 NO 1 日期2012 年 3 月 26 实验项目: 电阻串联、并联、双电源直流电路分析 目 的: 学习万用表使用,学习电阻、电压、电流和电位测量 内 容: (见详细介绍) 仪 器: 数字万用表、双输出稳压电源 材 料: 试验用电阻及导线 图1-38直流电路基本测量实验电路 科目 电子电工技术班级 1报告人:—同组学生 日 U 2 + R 1 510 Q I" + + 1 R3 E 1 d )6V U 3 510 Q U 4 F A R 2 1k Q E D U 5 I 2 I 1 - + R 4 510 Q U 1 + 12V - + E 2 + - R 5 330 Q 解:由图中可知,图中共有 3个支路,AFED,AD,AECD, 因为流经各支路的电流相等,所以

I 1+ I 2= I 3 对节点A有 对于网孔ADEFA,按顺时针循环一周,根据电压和电流的参考方向可以列出 1 1R1+I 3R3 +I 4R4 E1 I I510 I3510 14510 6V 对于网孔ADCBA,按顺时针循环一周,根据电压和电流的参考方向可以列出 I2R2+I3R3 + I5R5 = E2 I21000 +l3510 +l5330 =12V 联立方程得

实验29-铁电性能测量实验讲义全

铁电体电滞回线的测量 铁电材料是一类具有自发极化,而且其 自发极化矢量在外电场作用下可以翻转的 电介质材料,它具有优异的铁电、压电、介 电、热释电及电光性能,在非挥发性铁电存储器、压电驱动器、电容器、红外探测器和电光调制器等领域有重要的应用。铁电材料的主要特征是具有铁电性,即极化强度与外 电场之间具有电滞回线的关系,如图1所示。 电滞回线是铁电体的重要特征和重要判据 之一,通过电滞回线的测量可以得到自发极化强度P s 、剩余极化强度P r 、矫顽场E c 等重 要铁电参数,理解铁电畴极化翻转的动力学过程。 【实验目的】 1. 了解铁电测试仪的工作原理和使用方法。 2. 掌握电滞回线的测量及分析方法。 3. 理解铁电材料物理特性及其产生机理。 【实验仪器】 本实验采用美国Radiant Technology 公司生产的RT Premier Ⅱ型标准铁电测试仪,该仪器可以测量铁电材料的电滞回线、漏电流、疲劳、印痕、PUND (Positive Up Negative Down)等性能,而且配备了变温系统和热释电软件还可以测量热释电性能。 【实验原理】 铁电体的自发极化强度并非整个晶体为同一方向,而是包括各个不同方向的自发极化区域,其中具有相同自发极化方向的小区域叫做铁电畴。电滞回线的产生是由于铁电晶体中存在铁电畴。铁电体未加电场时,由于自发极化取向的任意性和热运动的影响,宏观上不呈现极化现象。当加上外电场大于铁电体的矫顽场时,沿电场方向的电畴由于新畴核的形成和畴壁的运动,体积迅速扩大,而逆电场方向的电畴体积则减小或消失,即逆电场方向的电畴转化为顺电场方向,因此表面电荷Q (极化强度P )和外电压V (电场强度 E )之间构成电滞回线的关系。另外由于铁电体本 身是一种电介质材料,两面涂上电极构成电容器 之后还存在着电容效应和电阻效应,因此一个铁 电试样的等效电路如图2所示。其中C F 对应于电 畴反转的等效电容,C D 对应于线性感应极化的等 效电容,R C 对应于试样的漏电流和感应极化损耗 相对应的等效电阻。如果在试样两端加上交变电图2 铁电测试等效电路图 O +E c -P r P E +P r -E c P S 图1 铁电体的电滞回线

石油大学数电实验

第一次 1. 用一片74ls00分别实现下列逻辑函数:ABC F = ABC F = B A F += B A B A F += (预习时学画出电路原理图) 2. 化简下列函数并用常用门电路实现: C B A BC A ABC ++=F J 第二次 1.用最少的门电路实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 2.用最少的门电路实现1位全加器(预习时画出电路原理图,注明所用芯片型号) 3.设A 、B 、C 、D 是4位二进制数(A 为高位),可用来表示16个十进制数。请设计一逻辑电路,使之能区分下列三种情况: (1)4X 0≤≤ (2)9X 5≤≤ (3)15X 10≤≤ (预习时画出电路原理图,注明所用芯片型号) 第三次 1.用一个3线8线译码器和最少的门电路设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0(预习时画出电路原理图,注明所用芯片型号) 2.用4选1数据选择器74ls153实现三输入变量的奇偶校验电路。当三个输入端有奇数个1时,输出为高,否则为低(预习时画出电路原理图,注明所用芯片型号) 第四次 1.测试JK 触发器逻辑功能:74LS112是双J-K 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS112上一个J-K 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS112的内部结构及管脚分配) 2.测试D 触发器逻辑功能:74LS74是双D 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS74上一个D 触发器的逻辑功能。自拟实验表格,记录实验结果(预习时查出74LS74的内部结构及管脚分配) 第五次 1.用十进制计数器 74LS90实现六进制计数器 2.用74LS161实现10进制计数器,并用两种方法构成6进制计数器,计数循环为0000~0101。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

电工实验讲义

实验一功率因数的提高 一.实验目的 (1) 了解提高功率因数的意义和方法 (2) 学习如何使用功率表 二.实验内容 以日光灯电路为例,研究电感性电路功率因数的提高 三.实验仪器和设备 名称型号或规格数量 日光灯电路实验板30w-40w 1 交流电压表0-1A 1 交流电流表0-300V 1 功率表D-34W 1 电容箱0-8F 1 单掷单刀开关自制 1 单掷双刀开关 1 电流表插座板 1 四. 实验方法说明 用户中电感性负载较多,其功率因数较低,导致电能传输效率降低,发电设备容量得不到充分利用.为了提高经济效益,通常在负载断并联适当的电容器来提高功率因数.本实验以日光灯为例,研究并联于电感性负载上的电容器对提高电路功率因数的作用,同时研究功率因数随并联电容量变化而变化的规律。

日光灯电路主要由灯管和镇流器组成,见图5(a ),是一个功率因数较低的电路,灯管工作时,可以认为是一个电阻负载R ,镇流器是一个带铁心的线圈,可看作是由一个等效电阻r 和一个电感L 相串联的元件,如图5(b )所示。为了提高功率因数,可在日光灯电路两端并联适当的电容器。 由于日光灯电路的电流波形不是正弦波,因而会给实验结果带来一定的误差。 图5 本实验线路图如图6(a )所示,图6(b )是实验电路的接线图。 由图6(a )可见,电路消耗的功率为 ?cos UI P = 故电路功率因数为: UI P = ?cos

图6 因此,测出电路的电压,电流和功率的数值后,就可由上式求得电路的功率因数。 实验的主要操作步骤如下: (1)按图6(b)线路接线,闭合DK2后再合上电源开关DK1,测量电源电 压U,灯管电压U1,流器电压U2,记于表4中。 表4 (2)分开DK2,从电容C=0开始依次递增电容量至8μ。将各次测得I、I1、I C、P数值记入表5内。

电力电子技术A实验讲义

实验四三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作情况。 二.实验线路与原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图4-1。 1) 电源控制屏位于MEL-002T; 2) L平波电抗器位于NMCL-331挂件; 3) 可调电阻R位于NMEL-03/4挂件 4) G给定(Ug)位于NMCL-31调速系统控制单元中; 5) Uct位于NMCL-33F挂件; 6) 晶闸管位于NMCL-33F挂件。 图4-1 三.实验内容

1.研究三相半波可控整流电路供电给电阻性负载时的工作情况。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作情况。 四.实验设备与仪表 1.教学实验台主控制屏 2.触发电路与晶闸主回路组件 3.电阻负载组件 4.示波器 五.注意事项 整流电路与三相电源连接时,一定要注意相序。 六.实验方法 1. 三相半波可控整流电路带电阻性负载。 合上主电源,接上电阻性负载R。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管A、K间端电压U VT=f(t)的波形。 2. 三相半波可控整流电路带电阻—电感性负载。 接入的电抗器L=700mH。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管的端电压U VT=f(t)(电阻性负载、电阻—电感性负载)、I d=f(t)(电阻—电感性负载)的波形。 实验方法的具体内容,可参照表4进行。 七. 实验报告

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电工电子工艺基础实验报告完整版

电工电子工艺基础实验报告完整版 电工电子工艺基础实验报告专业年级: 学号: 姓名: 指导教师: 2013 年 10 月 7 日

目录 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 二.简述磁控声光报警器的工作原理,画出电路组成框图,实物图片。 三.简述ZX—2005型稳压源/充电器的工作原理,画出电路组成框图,实物图片;附上实习报告。四.简述流水灯工作原理,画出电路组成框图,实物图。 五.简述ZX2031FM微型贴片收音机的工作原理,画出电路组成框图,实物图。 六.简述HTDZ1208型—复合管OTL音频功率放大器的工作原理,画出电路组成框图,实物图。七.总的实训体会,收获,意见。 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 (1)电烙铁的拿法 反握法:动作稳定,不易疲劳,适于大功率焊接。 正握法:适于中等功率电烙铁的操作。

握笔法:一般多采用握笔法,适于轻巧型的电烙铁,其 烙铁头就是直的,头端锉成一个斜面或圆锥状,适于焊 接面积较小的焊盘。 (2)焊锡的拿法 (3)焊接操作五步法 左手拿焊条,右手拿焊铁,处于随时可焊状态。 加热焊件、送入焊条、移开焊条、移开电烙铁。(4)采用正确的加热方法 让焊件上需要锡侵润的各部分均匀受热 (5)撤离电烙铁的方法 撤离电烙铁应及时,撤离时应垂直向上撤离 (6)焊点的质量要求 有可靠的机械强度、有可靠的电气连接。 (7)合格焊点的外观 焊点形状近似圆锥体,椎体表面呈直线型、表面光泽 且平滑、焊点匀称,呈拉开裙状、无裂纹针孔夹 渣。 (8)常见焊点缺陷分析 二.简述磁控声光报警器的工作原理,画出

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

西工大数电实验第二次VHDL分频器

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY fpq IS PORT(clk:IN STD_LOGIC; clk_out,clk_out1:OUT STD_LOGIC); END fpq; ARCHITECTURE fwm OF fpq IS CONSTANT m : INTEGER:= 25000000; --50M 分频到1Hz 时=25000000。 CONSTANT m1 : INTEGER:= 2500000; --5M 分频到10Hz 时=2500000。 SIGNAL tmp :STD_LOGIC; SIGNAL tmp1 :STD_LOGIC; BEGIN PROCESS(clk, tmp) VARIABLE cout : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 IF cout<=m THEN tmp<='0'; --计数小于等于25000000,输出0 ELSIF cout

END IF; END IF; END PROCESS; clk_out<=tmp; --50M分频器输出 PROCESS(clk, tmp1) VARIABLE cout1 : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout1:=cout1+1; --计数器+1 IF cout1<=m1 THEN tmp1<='0'; --计数小于等于2500000,输出0 ELSIF cout1

数电实验二:简易计算器(实验报告)

数电实验2实验报告 1、设计修改方案 (1)加入编码器连接4选一数据选择器,控制进行运算的种类 (2)修改了输出端数据选择器的程序,使得当计算器没有任何输入时,结果显示保持为0,并且利用芯片自身的灭零管脚,让显示结果中,当十位为零时,十 位的零不显示。

2、实验数据及分析 (1)修改后电路图(附后) (2)仿真波形 设置输入2个4位二进制数为0110(十进制6)和0010(十进制2),计算方式控制SW[3:0]设为0111,即模拟除法操作,加入时钟信号。 ①模拟除法波形: 可以看到十位(商)的数码管显示中,1、2、3、4、7段亮,显示为数字3,而个位(余数)显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6除2商3余0。满足计算要求。 ②模拟乘法波形:(SW[3:0]设为1011,其他输入同上)

可以看到个位的数码管显示中,1、4、5、6段亮,显示为C(化为十进制为12),而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6乘2等于0C,即等于12。当改变输入4和2是,显示结果为8,。满足计算要求。 ③模拟加法波形:(SW[3:0]设为1101,其他输入同上) 可以看到个位的数码管显示中,1、2、3、4、5、6、7段全亮,显示为数字8,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6加2等于08,即等于8。满足计算要求。 ④模拟减法波形:(SW[3:0]设为1110,其他输入同上) 可以看到个位的数码管显示中,2、3、6、7段亮,显示为数字3,而十位一直显示1、2、3、4、5、6段亮,显示数字0,相当于计算出6减2等于03,即等于3。满足计算要求。 从上面加减乘除四种功能运算的波形仿真可以看出,本实验设计能够正确完成对输入数字的上述四种运算。满足题目要求。

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

电工基础讲义

家电维修培训资料师宗县疾人家电维修培训班 二零一六年四月

第一部分 《电工基本知识》 直流电路 电路——电流流通的路径。 直流电路——直流电源供电的电路。 §1-1 电路及基本物理量 一、电路的组成及作用 1.电路的组成 电源——为电路提供电能的设备。 负载——又称用电器,其作用是将电能转变为其他形式的能。 导线——起连接电路和输送电能的作用。 控制装置——主要作用是控制电路的通断。 2.电路的作用 一是进行电能的传输和转换;二是进行信息的传输和处理。 3.电路的三种状态 通路——电路构成闭合回路,有电流流过。 开路——电路断开,无电流通过,也称断路。 短路——电源未经负载而直接由导体构成闭合回路。 二、电流 1.电流的形成 电荷的定向移动形成电流。 2.电流的大小 单位时间内通过导体横截面的电荷量,即: t Q I

3.电流的方向 习惯上把正电荷移动的方向规定为电流的方向,自由电子和负离子移动的方向与电流方向相反。 直流——大小和方向都不随时间变化。 交流——大小和方向都随时间作相应变化。 电流的基本单位:安培,简称安(A)。 电流的常用单位:毫安(mA),微安(μA); 1A=103 mA =106μA 三、电压、电位和电动势 1.电压 电压——电场力将单位正电荷从a点移到b点所做的功,称为a、b两点间的电压,用U ab表示。单位为伏特(V)。 电压的基本单位:伏特(V)。 电压的常用单位:千伏(KV),毫伏(mV),微伏(μV)。 1V=103 mV =106μV=10-3KV 2.电位 电位——电路中某一点与参考点之间的电压。单位为伏特(V)。 电压——电路中任意两点之间的电位差,又称电位差。 3.电动势 电动势——表示电源将正电荷从电源负极经电源内部移向正极的能力,符号为E,单位为伏特(V)。 4.电压的测量 (1)对交、直流电压应分别采用交流电压表和直流电压表测量。 (2)电压表必须并联在被测电路的两端。

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

相关主题
文本预览
相关文档 最新文档