当前位置:文档之家› 基于ARM和FPGA的高速数据采集卡的设计与实现 (1)

基于ARM和FPGA的高速数据采集卡的设计与实现 (1)

基于ARM和FPGA的高速数据采集卡的设计与实现 (1)
基于ARM和FPGA的高速数据采集卡的设计与实现 (1)

清华大学

硕士毕业论文报告

课程名称:嵌入式系统课程设计

专业班级:应用电子技术09201班

学生姓名:崔剑

指导教师:袁里弛

完成时间:2011年12月26日

报告成绩:

评阅意见:

评阅教师日期

目录

第一章系统设计方案和主要器件选型 (2)

1.1 系统设计方案 (2)

1.2 ADC芯片选型 (2)

1.3 DA芯片选型 (2)

1.4 FPGA芯片选型 (3)

1.5 主控CPU选型 (3)

第二章数据采集与触发电路设计 (4)

2.1 前端采集电路设计 (4)

2.2 触发电路与触发控制 (5)

2.3 SDRAM控制器设计 (6)

第三章各芯片间的数据传输与处理 (7)

3.1 采集卡各芯片速度等级的划分和数据流向 (7)

3.2 ARM与FPGA通信 (7)

3.3 数据的模拟输出 (8)

第四章设计总结 (13)

参考文献 (13)

附录1 ARM外围电路 (14)

附录2 FPGA外围电路 (15)

附录3 ARM读取显示程序 (20)

第一章 系统设计方案和主要器件选型 1.1 系统设计方案

整个系统是由前端模拟通道、触发电路、FPGA 数据采集预处理、数据模拟输出和ARM 数据处理显示五部分组成。FPGA 数据采集预处理分为A/D 数据采集、触发控制、帧控制、SDRAM 控制器和ARM 数据交换五个部分,模拟数据经过A/D 装换后在FPGA 中缓冲,缓冲之后使用触发控制将采集到的数据分成512个数据点组成的数据帧,数据按照帧的顺序传输,经过SDRAM 存储后,通过ARM 与FPGA 中的共享存储区传输给ARM 。具体的数据采集系统的硬件结构图如下图2-1所示:

图2-1 数据采集卡硬件结构图

1.2 ADC 芯片选型

A/D 转换器是整个采集系统的核心,系统前端模拟电压调理电路、FPGA 数据采集和后端的采集控制部分都与A/D 直接相关,A/D 芯片的选择不但关系到系统设计的性能,而且直接决定了整板设计的难度。

1.3 DA 芯片选型

为了输出高性能的模拟信号,DAC 采用采样率高达175M 的高速DAC 。AD970X 系列DAC 针对低功耗特性进行了优化,同时仍保持出色的动态性能,适合用于手持便携式仪器等需要有效地合成宽带信号的场合。AD9707 精度高达14位 ,采样率为175MSPS ,内部集成边沿触发式输入锁存器,1V 温度补偿带隙基准电压源和自校准功能,使AD9707能提供真14位INL 与DNL 性能。

FPGA

AD

ARM

拟通道

SDRAM

PLL

DA

GPIO 触发电路GPIO

晶振

复位JTAG

FLASH SDRAM LCD UART

数据总线控制线

配置线

模拟输入

模拟输出时钟

地址总线

1.4 FPGA芯片选型

在现代采集系统中FPGA往往被用做通信系统的中枢,负责了大量的数据采集和前期处理和控制工作,FPGA作为系统的中间级主芯片承担着承前启后的重大任务。

1.4.1 FPGA的特点及选型

常见的FPGA一般由六部分组成,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等。

1.4.2 FPGA的设计步骤

1.电路设计与输入

2.功能仿真

3.综合优化

4.综合后仿真

5.实现与布局布线

6.时序仿真和验证

7.板级仿真与验证8.调试与加载配置

1.4.3 FPGA核心电路设计

FPGA各系列的最小系统板的单元组成基本相同,仅具体电路中存在着差异。一般可以把其组成分为七部分:FPGA主芯片、PROM存储芯片、电源电路、全局时钟发生电路、JTAG接口电路、下载模式选择电路和接口引出插针。以该最小系统板作为控制核心,外加所需的接口电路就可以实现各种设计。

1.5 主控CPU选型

主控CPU相当与人的心脏,整个系统运行的快慢与它有直接关系,常见的嵌入式处理器有单片机、ARM、DSP等,它们都有各自的特点,运用的场合各有不同,设计的难度也不一样。主控CPU的选择是一个综合的过程,在选择时它必须有以下特点:

1.CPU运算速度快,不能拖慢整个系统采集的效率。

2.CPU内嵌LCD控制器可以驱动TFT-LCD,利于降低系统设计难度。

3.所选处理器比较常见,在其他设计中有广泛应用,便于代码移植,简化设计。

4.基于此CPU的开发板购买方便,价格便宜,代码丰富。

第二章数据采集与触发电路设计

2.1 前端采集电路设计

2.1.1 AD前端调理电路

前端调理电路目的就是将被测信号调理到AD9480模拟输入的电压范围。被测信号经过前端阻抗变换网络之后,进入后级运算放大器,后级选择高阻抗低噪声运算放大器ADA4817,输入阻抗高达500GΩ。

下图2-1为AD8351单端转差分的典型电路:

图2-1 AD8351单端转差分的典型电路

下图2-2为AD9480功能模块图:

图2-2 AD9480功能模块图

2.1.2 FPGA 数据采集

设计中使用FPGA 内部的RAM 来缓冲AD 输出的数据,EP3C25内部的RAM 资源总共有608Kbits ,使用这些内部的RAM 作为数据缓冲单元,既可以节约成本,又可以提高硬件采集的性能。使用FPGA 内部的RAM 资源,设计中可以非常容易的构建前端数据缓冲所需要的双口RAM 或者FIFO 。 下图2-3为典型的双口RAM 模块图。

2-3 典型的双口RAM 模块图

2.2 触发电路与触发控制

为了保证采集数据的稳定,一般的数据采集系统中都会有触发电路,它能提供了一个稳定的触发相位点,使得重构的波形能够在上位机的显示屏上稳定显示,还保证我们能观测的感兴趣的波形。

2.2.1 触发分析

触发的目的是同步,触发的过程是比较。

图2-4

基本的触发结构图

AD

模拟输入

模拟触发信号

模拟比较器

数字比较器

外部触发信号

量化

数字触发信号

触发控制

内触发信号

FPGA

帧控制

2.2.2 触发控制

典型的触发信号为比较器输出的脉冲信号,触发控制是根据触发脉冲的起始位置,采集起始位置之后的一帧数据,由于每一次触发信号产生时,采集的数据都是在一个固定的值,因此将每次触发后的512个数据点组合为一帧,在显示上就会出现一个稳定的数据波形。整个控制和实现上述功能的过程就是触发控制。

2.4 SDRAM 控制器设计

2.4.1 SDRAM 控制器结构

图2-5 SDRAM 控制器系统结构

1.控制接口模块

图2-6 接口模块的结构图

命令接口

刷新控制

CMD[2:0]CMDACK ADDR[11:0]

命令接口

接口控制模块

DATAIN

DM DATAOUT

DQ DQM

Request CMD_ACK ADDR

REF_REQ

REF_ACK

仲裁器

命令生成器

命令模块

数据路径模块

SADDR[11:0]BA[1:0]CS[1:0]

CKE RAS CAS WE

OE 命令接口

刷新控制

CMD[2:0]CMDACK ADDR[11:0]

接口控制模块

Request CMD_ACK ADDR REF_REQ

REF_ACK

第三章 各芯片间的数据传输与处理

3.1 采集卡各芯片速度等级的划分和数据流向

按照整个系统各部分的速度,我们将系统简单的划分为三个速度等级,不管是程序设计还是PCB 设计都要充分的考虑到各个速度等级的特点,才能设计出合理可靠的系统,具体划分情况如下图3-1所示:

图3-1 系统速度等级划分图

为了提高采集、存储和显示的效率,根据各个芯片的特点,运用了下面几种处理方式来提高效率:

1.在FPGA 中构建一个多缓冲单元的乒乓机制采集模块,提高FPGA 采集的效率,并降低了中间级传输的速度,利于中间级的数据处理。

2.在中间级FPGA 与后端ARM 之间的通信中,使用DMA 模式,大大提高了数据传输的速率,并解放了ARM ,使其可以从容的进行数据处理和显示工作。

3.在ARM 接收数据后对采集的数据帧进行控制,保证数据传输的可靠性和正确性。

3.2 ARM 与FPGA 通信

在数据采集卡中ARM 主要负责数据显示和数据分析,处理的速度处于MS 级;而FPGA 在系统中处于数据的高速采集和高速处理,处理的速度是ns 级。两个处理器的之间的数据传输属于典型的异步数据通信,它们之间通信的速度之间决定了系统处理数据的效率。FPGA 与ARM 之间属于大量数据交换,以异步并行读取的方式为例介绍ARM 与FPGA 的通信,实际设计中使用DMA 方式来实现ARM 与FPGA 之间的大数据量通信。

3.2.1 ARM 存储系统分析

S3C2410A 存储控制器提供访问外部存储器所需要的存储器控制信号。S3C2410A 支

AD FPGA ARM

DA

SDRAM

高速

中速低速

持大、小端模式,将存储空间分为8个组(Bank),每组大小是128M,共计1GB,如图3-2所示。

3.3 数据的模拟输出

3.3.1 模拟输出电路设计

模拟输出部分是由AD9707、低电压异或门76VX86和运放ADA4899组成。测试时可以通过跳线J1选择AD9707的工作模式,J1选择高电平时为PIN模式,低电平时为SPI模式,出现高电平脉冲时复位内部寄存器。电路图中AD9707的输入时钟选择差分时钟,AD9707输出选择单端输出,正向输出端IOUTA与ADA4899的反相输入端相连,反向输出端IOUTB接地,内部基准电压源REFIO与ADA4899的同相输入端相连。运算放大器ADA4899将AD9707单端输出的电流变化转换为电压变化,外部使用内部基准电压源时REFIO引脚需用一个0.1μF电容接地。基本的硬件电路图如下图3-3所示:

图3-3 AD9707基本外围电路

3.3.2 AD9707内部寄存器配置

在AD9707测试之前必须按照外围电路的设计配置好AD9707工作的状态。

3.3.3 使用ARM 和FPGA 分别配置AD9707

1.使用ARM 配置AD9707内部寄存器

S3C2410具有两个SPI 接口模块,每个模块分别具有两个8位移位寄存器用来接收和发送数据。数据的接收(串行移入)和发送(串行移出)是同时进行的。串行数据的速率由相应的寄存器设定。其时序很简单,主要是在CLK 的控制下,两个双向移位寄存器进行数据交换。SPI 接口发送数据的程序流程图如下图3-4所示:

图3-4 SPI 数据发送程序流程图

检查发送标志位的状态=1

开始

SPI 初始化

设置波特率

设置SPCONn 来配置SPI 模块

设置作为nSS 的GPIO 配置为低电平向SPTDATn 写入数据

将nSS 配置为高电平

进入下一次传输

Y

N

使用S3C2410的SPI端口0来配置AD9707内部寄存器的主程序如下:

void Spi_MS_poll(void)

{

int i;

S16 a[2]={0x0080,0x0200};

//地址0x00的数据为0x80;地址0x02的数据为0x00

for(i=0;i<2;i++) //通过两次赋值

{

SPI_Port_Init(0);//SPI端口初始化

rSPPRE0=0x0; //设置波特率SCLK为20M

rSPCON0=(0<<5)|(1<<4)|(1<<3)|(1<<2)|(0<<1)|(0<<0);

//设置SPI端口为polling模式,时钟使能,SPI为主控模式

rSPPIN0=(0<<2)|(1<<1)|(0<<0);

//禁止多主控错误检测使能,主机输出后释放MOSI

{

if(rSPSTA0&0x1) //检查SPI0的状态

{

rSPTDAT0=a[i]; i++; //发送数据,准备下一次发送数据

}

}

rSPCON0=(0<<5)|(0<<4)|(1<<3)|(1<<2)|(0<<1)|(0<<0);

//设置SPI端口为Polling模式,禁止SCK, 选择主控模式

}

}

使用ARM的SPI接口可以很方便的配置AD9707,但是在测试电路板上ARM离AD9707较远,走线比较长,布线时比较复杂,导致测试时不得不使用飞线。为了解决布线困难的问题,我们采用FPGA模拟SPI接口配置AD9707内部寄存器。

2.使用FPGA配置AD9707内部寄存器

FPGA的使用非常灵活,同一片FPGA通过不同的程序可以产生不同的电路功能。下面就是使用VHDL语言编写一个SPI控制器,来发送配置数据给AD9707。

根据图4-7中的写入时序编写程序[17] [18] [19] [20],具体代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SPI IS

GENERIC(DATA:STD_LOGIC_VECTOR(11 DOWNTO 0):="110101101011");

--配置数据

PORT(SCLK:IN STD_LOGIC;

CSB, SDIO: OUT STD_LOGIC);

END SPI;

ARCHITECTURE BEHA VE OF SPI IS

SIGNAL COUNT : STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL REG : STD_LOGIC_VECTOR(11 DOWNTO 0);

SIGNAL X : STD_LOGIC;

BEGIN

PROCESS(SCLK,X) --产生片选信号CSB

BEGIN

END PROCESS;

PROCESS(SCLK) --从SDO串行发送配置数据

BEGIN

IF(SCLK'EVENT AND SCLK='1')THEN

IF(COUNT="0001")THEN REG<=DATA;

ELSE

REG<=REG (10 DOWNTO 0) & '0';

END IF;

END IF;

END PROCESS;

SDIO <=REG (11);

END BEHA VE;

程序编译仿真后得到的时序图如下图4-9所示,整个程序综合之后仅占用4个逻辑单元,使用类属参数定义配置数据,方便用户按照自己的设计随意修改。

图4-9 FPGA模拟SPI接口发送数据的时序仿真图

第四章实验报告总结

经过三个星期的实习,过程曲折可谓一语难尽。在此期间我也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。

生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈测绘为我们的社会付出。我想说,测绘确实有些辛苦,但苦中也有乐,在如今物欲很流的世界,很少有机会能与大自然亲密接触,但我们可以,而且测绘也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的实习,我感觉我和同学们之间的距离更加近了;我想说,测绘确实很累,但当我们所测的数据制成成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。我们同样可以为社会作出我们应该做的一切,这有什么不好?我不断的反问自己。也许有人不喜欢野外的工作也许有人认为测绘的工作环境不好,但我认为无论干什么,只要人生活的有意义就可。社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我决定沿着自己的测绘路,执着的走下去。

社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我决定沿着自己的测绘路,执着的走下去。

对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生旅途上一个非常美好的回忆!

参考文献

[1]周林,殷侠等编著.数据采集与分析技术[M].西安:西安电子科技大学出版社,2005

[2]吴继华,王诚编著.Altera FPGA/CPLD设计(基础篇)[M].北京:人民邮电出版社,2005

[3]王彦主编.基于FPGA的工程设计与应用[M].西安:西安电子科技大学出版社,2007

[4]范书瑞,赵燕飞,高铁成编著.ARM处理器与C语言开发应用[M].北京:北京航空航天大学出版社,2008

[5]吴继华,王诚编著.Altera FPGA/CPLD设计(高级篇)[M].北京:人民邮电出版社,2005

[6] 唐彬,徐强,王莉薇编著.数字IC设计——方法、技巧与实践. 北京:机械工业出版社,2006

[7][美]Steve Kilts著,孟宪元译。高级FPGA设计结构、实现和优化[M]. 北京:机械工业出版社,2009

[8][日]桑野雅彦著.王庆译.存储器IC的应用技巧. 北京:科学出版社,2006

附录1 ARM外围电路

图1 ARM供电

图2 LCD接口

图3 JTAG电路

图4 全局复位电路

附录2 FPGA外围电路

图1 FPGA电源供电

图2 AS配置电路

图3 配置方式选择电路

图5 SDRAM电路

附录4 ARM读取显示程序

void Lcd640480(void)

{

volatile U16 *port=(U16 *)0x20000000;

//bank4起始地址为0x20000000

U16 i,n,x,y,l,k=0,d[512];

U8 a[512];

rBWSCON=rBWSCON & ~(0xf<<16)|(BUS16<<16)|(ENWAIT<<18);

//bank4数据位宽度设置

rBANKCON4=((B4_Tacs<<13)+(B4_Tcos<<11)+(B4_Tacc<<8)+(B4_Tcoh<<6) +(B4_Tah<<4)+(B4_Tacp<<2)+(B4_PMC));

//bank4读写时序控制

for(i=0;i<5;i++);

//等待bank4配置有效

Lcd_Port_Init();

Lcd_Init(MODE_TFT_16BIT_640480);

Glib_Init(MODE_TFT_16BIT_640480);

Lcd_PowerEnable(0, 1);

Lcd_EnvidOnOff(1);

Uart_Printf("[TFT 64K COLOR(16bit/1pixel) LCD TEST]\n");

gui();

for(n=0;n<512;n+=1)//读取512个数据点

{

a[n] = *port;

*port++;

}

for(i=0;i<512;i+=1)

Uart_Printf("%d ,",a[i]);

//在串口调试助手上显示512点的数据

for(l=12;l<512;l++)

{

x=20+l-12;

y=a[l];

PutPixel(x,y,YELLOW);

}

MoveViewPort(MODE_TFT_16BIT_640480);

Lcd_MoveViewPort(0,0,MODE_TFT_16BIT_640480); Lcd_EnvidOnOff(0);

Lcd_Port_Return();

高速数据采集系统设计

高速数据采集系统 设计

基于FPGA和SoC单片机的 高速数据采集系统设计 一.选题背景及意义 随着信息技术的飞速发展,各种数据的实时采集和处理在现代工业控制和科学研究中已成为必不可少的部分。高速数据采集系统在自动测试、生产控制、通信、信号处理等领域占有极其重要的地位。随着SoC单片机的快速发展,现在已经能够将采集多路模拟信号的A/D转换子系统和CPU核集成在一片芯片上,使整个数据采集系统几乎能够单芯片实现,从而使数据采集系统体积小,性价比高。FPGA为实现高速数据采集提供了一种理想的实现途径。利用FPGA高速性能和本身集成的几万个逻辑门和嵌入式存储器块,把数据采集系统中的数据缓存和控制电路全部集成在一片FPGA芯片中,大大减小了系统体积,提高了灵活性。FPGA 还具有系统编程功能以及功能强大的EDA软件支持,使得系统具有升级容易、开发周期短等优点。 二.设计要求 设计一高速数据采集系统,系统框图如图1-1所示。输入模拟信号为频率200KHz、Vpp=0.5V的正弦信号。采样频率设定为25MHz。经过按键启动一次数据采集,每次连续采集128点数据,单片机读取128点数据后在LCD模块上回放显示信号波形。

图1-1 高速数据采集原理框图 三.整体方案设计 高速数据采集系统采用如图3-1的设计方案。高速数据采集系统由单片机最小系统、FPGA最小系统和模拟量输入通道三部分组成。输入正弦信号经过调理电路后送高速A/D转换器,高速A/D 转换器以25MHz的频率采样模拟信号,输出的数字量依次存入FPGA内部的FIFO存储器中,并将128字节数据在LCD模块回放显示。 图3-1 高速数据采集系统设计方案 四.硬件电路设计 1.模拟量输入通道的设计 模拟量输入通道由高速A/D转换器和信号调理电路组成。信号调理电路将模拟信号放大、滤波、直流电平位移,以满足A/D转换器对模拟输入信号的要求。

USB接口的高速数据采集卡的设计与实现

摘要:讨论了基于USB接口的高速数据采集卡的实现。该系统采用TI公司的TUSB3210芯片作为USB通信及主控芯片,完全符合USB1.1协议,是一种新型的数据采集卡。 关键词:USB A/D FIFO 固件 现代工业生产和科学研究对数据采集的要求日益提高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要进行高速数据采集。现在通用的高速数据采集卡一般多是PCI 卡或ISA卡,存在以下缺点:安装麻烦;价格昂贵;受计算机插槽数量、地址、中断资源限制,可扩展性差;在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线USB是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展等优点,已逐渐成为现代数据传输的发展趋势。基于USB的高速数据采集卡充分利用USB总线的上述优点,有效解决了传统高速数据采集卡的缺陷。 1 USB数据采集卡原理 1.1 USB简介 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速 12Mbps,在USB2.0中其速度提高到480Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片 TUSB3210,开发了具有USB接口的高速数据采集卡。 1.2 系统原理图

数据采集卡

USB2002数据采集卡使用说明书 北京阿尔泰科贸有限公司

USB简介 USB(UNIVERSAL SERIER BUS)又称之为通用串行总线,不仅仅简单地将计算机和外设连接在一起,而是使我们进入了一个全新的PC机时代。 USB是您进行数字图象处理的最佳选择,同时她也为数字化设计提供了无限的创造空间,一但您尝试使用了USB,势必爱不释手。 为什么USB越来越受到用户的青赖呢? 第一.USB实现了那些一直梦想快速直接连接外设到PC机的使用者的梦想,添加一个传统外设首先您不得不弄清楚在那些令人迷惑的端口序列中那一个才是您需要的。其次,在通常情况下,您还不得不提前拆开PC机,安装需要的板卡,并且选择跳线,诸如中断设置等,这些非常的麻烦。甚至使一些用户惧怕去想添加外设。USB使添加外设变的十分简单,任何人都可以轻松的做到。 首先,USB用一个标准的插拔端口代替了所有的不同种类的串并口。使用USB连接PC机和外设,您只须把他们连接在一起!剩下的事情USB会自动帮您完成。他就像是给您的PC机添加一个新的功能。您再也不须拆开您的PC机,也不必担心插入板卡,DIP跳线和中断设置。 第二.USB的即插即用功能,当您需要接入外设时,甚至不必关闭电源重启计算机。只要插入便可运行!PC自动检测外围设备并且配置必要的软件。这种功能可用于想分享外设的商业PC和笔记本PC。而当您需要移走外设时,只须拔走USB插头即可。 也许您会问“我可以同时接多个外围设备吗?PC机有足够的USB接口吗?” USB当然可以同时连接多个外围设备;许多PC机有两个以上的USB端口,而集线器——一种特殊的USB外围设备,可以附属多个USB端口,当您需要使用多于两个外设时,接入一个集线器即可。 第三.USB传输数据的速度非常快,达到12MBIT,而在新发行的USB2.0版本中,其传输速度居然达到480Mbit。 第一章概述

高速以太网通讯数据采集卡使用说明

16 位 64 通道 500KSPS 光隔 AD 16 通道光隔数字入/16 通道光隔数字出 T9255 使用说明书 一、性能特点: 本板采用有线 10M/100M 以太网口的数据采集器。 本采集卡提供基于 DLL 的编程技术,用户不需要网络知识就可以实现网络采集与控制功能。 本板通过采用高速高精度 AD 芯片、高精度的放大器、高密度 FPGA 逻辑芯片、精细地布线以及优良的制版工艺,实现了高速、高精度实时数据采集,具有以下性能特点: 1、2、 3、 4、5、6、64 通道模拟量高速采集。可以设置 1-64 通道采集,起始通道号可以自由设定。 AD 幅值采集高精度:16 位采集精度,长时间采集时,误差跳码为±2LSB,相对精度优于 0.001%,直流电压波动小于 0.1 毫伏。 软件校准:将校准信息存储在板卡上,用户不用打开仪器设备就可以进行校 准,使用方便,一般情况下不需要用户进行任何校准。 丰富的备用扩展资源:板上 CPLD 资源非常丰富,可以为用户的特殊需求进行定制,如旋转编码器接口、脉冲周期测量接口、PWM 输出接口、外同步接口、触发记录接口、开关量控制接口等(定制)。 提供外部时钟模式:在该模式下,外部时钟信号启动所有通道采集一次,从而 实现多通道与外时钟同步采集模式(定制)。 提供外部触发启动模式:在该模式下,只有当外部给出上升延触发信号后才开 始采集,从而实现用户外触发采集模式的需要(定制)。

二、功能与指标 AD 的性能指标: AD 采样精度:16 位 AD 通道数:单端方式 64 通道。 AD 采集的综合跳码误差为±2LSB。 模拟采集的定时精度:缺省情况下为 50PPM,特殊要求可以定制 AD 输入电压范围:-5V 到+5V、0-10V 可选,或根据用户需要定制量程。 AD 输入阻抗:100 千欧 模拟输入安全电压:±15 伏。当超过 AD 输入量程时,只要不超过安全电压就不 会损坏硬件。建议用户尽可能使输入信号在量程范围内。 抗静电电压:2000 伏 采集方式:连续采集 模拟量安全电压:当输入电压超过±20V 时,有可能造成硬件损坏,由此造成的损 失不在保修范围内。 接口: 总线方式:10M/100M 以太网 开关量指标: 16 路数字量输入,独立光电隔离模式,TTL 电平方式,高电平输入为 高于 2.4V,低电平低于 0.8V,限流电阻 1k 欧姆。 开关量输入的电流,小于 1uA 16 路数字量输出,上电复位清零功能,高电平输出大于 2.4V,低电平 输出低于 0.2V 开关量输出的电流大于 5mA,小于 10mA。 电源: 外部电源输入 10-30V DC,电源电流 200mA。 尺寸: 电路板尺寸:150mm*100mm 电路板定位孔:140*90——Φ3.5mm 工作环境 工作温度:0-70℃ 环境湿度:90%以内

基于DSP和PCI总线的同步数据采集卡设计

基于!"#和#$%总线的同步数据采集卡设计 王宏,许飞云,贾民平 (东南大学设备监控与故障诊断研究所,江苏南京&’(()*) 摘要:介绍了一种在大型设备状态监测和故障诊断系统中作为核心的同步数据采集卡的设 计方法。该采集卡使用+%公司的+,"-&(.$/0’(1!"#做数字信号处理器,对数据采集过 程进行控制,并进行数字信号处理。应用#$%&(0(实现+,"-&(.$/0’(1!"#到#$%总线间 可靠连接,从而保证了采集数据快速、高效地传输到#$机。采集卡集同步数据采集、信号处 理及高速数据传输于一体。在状态监测和故障诊断系统中应用时,能很好的满足数据采集、处 理和传输的需要。 关键词:!"#;#$%总线;#$%&(0(;同步数据采集 中图分类号:+#-)’233文献标识码:1文章编号:’*3’4/&3*(&((3)(’4(()/4(0 !"#$%&’()*&+,-’&’.#!/0/1+2.$#$0$’&3/-45/#"4’&!)6/&46375.# 516789:;,<=>?@4A B:,C%1,@:4D@:; (E?F?G H I J$?:K?H9L$9:M@K@9:,9:@K9H@:;G:M>G B N K!@G;:9F@F, "9B K J?G F K=:@O?H F@K A,6G:P@:;&’(()*,$J@:G) 18#0-/+0:1M?F@;:9L F A:I J H9:9B F M G K G G I Q B@F@K@9:I G H M@F B F?M G F I9H?@:N G H;?4F I G N??Q B@D R?:K’F I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F2+,"-&(.$/0’(1!"#9L+%I9R D G:A@F B F?M G F M@;@K G N F@;:G N D H9I?F F9H9:K J?I G H M K9I9:K H9N K J?D H9I?F F9L M G K G G I4 Q B@F@K@9:G:M K9D H9I?F F K J?G I Q B@H?M M G K G2#$%&(0(@F G D D N@?M K9D H9O@M?G K H@?M I9::?I K@9:S?K T??:+,"-&(.$/0’(1!"#G:M #$%S B F K9;B G H G:K??K J?G I Q B@H?M M G K G K H G:F L?H H?M K9#$@:J@;J F D??M G:M J@;J?L L@I@?:I A2+J?I G H M I9R S@:?F F A:I J H9:9B F M G K G G I Q B@F@K@9:,F@;:G N D H9I?F F G:M J@;J U F D??M M G K G K H G:F@K@9:@:9:?25J?:B F?M@:I9:M@K@9:R9:@K9H@:;G:M L G B N K M@G;:9F@F F A F4 K?R F,K J?I G H M I G:T?N N R??K K J?:??M F9L G I Q B@F@K@9:,D H9I?F F G:M K H G:F R@F F@9:9L M G K G2 9"*:’-4#:!"#;#$%S B F;#$%&(0(;F A:I J H9:9B F M G K G G I Q B@F@K@9: ;引言 随着现代化工业生产日益系统化、高速化和自动化的发展,现代工业生产已逐渐形成一个具有整体性的生产链,一旦某一设备发生故障,将会引起整个生产过程不能正常运行,从而造成巨大的经济损失,严重时将造成灾难性的设备损坏及人员伤亡。近年来,国内外的设备事故时有发生。因此,人们对设备的可靠性和安全性提出了越来越高的要求,设备的故障监测与诊断技术受到了人们的高度重视,并已发展成为一门综合性的交叉学科,亦取得了显著的经济效益和社会效益[’]。 设备的故障监测与诊断技术多是基于#$机的测试系统,首先要进行数据采集,然后才能对获得的数据进行测试分析。所以数据采集卡是设备的故障监测与诊断的基础。 文中主要阐述了基于!"#的#$%总线同步数据采集卡的硬件设计,使用美国+%公司的+,"-&(.$/0’(1 !"#作为采集卡的处理器,使用高速的#$%总线与#$机连接,实现数据的采集和快速传送。该卡主要用于大型设备监测和故障诊断系统中完成数据采集和预处理功能,实现对被监测系统的实时监测。 <硬件设计 <2<采集卡总体结构 在大型设备的状态监测和故障诊断中,振动信号能最迅速最直接地反映机械设备的运行状态,3(V以上的运行故障都以振动形式表现出来。由于振动信号在工频及其各倍频处的能量分布直接反映了设备运行状态,因此需要在数倍于工频的范围内分析振动频谱,作为振动信号的状态监测系统要求也就比较高[-],表现为:采样频率高、信号处理量大、数据传输量也很大。而使用!"#和#$%总线相结合设计的同步采集卡却能满足这一需求。#$%总线数据采集卡系统的原理框图如图’所示。 由图’可以看出,’*通道模拟信号同步采集模块对由抗混滤波板输入的模拟信号进行缓冲处理输入后续的0片0通道同步采集芯片1!3W*/,该0片1!3W*/芯片由同一个采样脉冲控制采样及1/!转换,实现’*通道信号的同步采集。所有1!3W*/芯片的转换结果均通过板内部的!"#总线供+,"-&(.$/0’(1!"#芯片读取,该同步采集模块可根据测量的转速实现’*通道模拟信号同步整周期采集,采集频率每通道可高达3/X8Y。 此外,该信号同步采集模块具有内触发与外触发采样功能,其外触发采样功能可以保证多块’*通道信号同步采集模块同时使用,实现更多通道(如-&、0W通道等)的同步采样。 +,"-&(.$/0’(1!"#芯片为’*通道信号同步采集板的核心,它一方面控制各种信号的采集及保存,另一方面负责信号的分析与处理,并提取设备故障的特征信号通过其8#%接口供计算机获取用于故障诊断。各相关单元如1/!转换芯片、0Z-&[字数据E1,、’&W[字程序/数 !"#$%&’()%*+%&,-.)/01"/%0&,2’34556,78(9)::;!:

高速数据采集卡250MSPS

高速数据采集卡250MSPS 14bit 250MSPS 14bit 8通道高速数据采集卡主要应用于雷达、通信、电子对抗、高能物理、质谱分析、超声等高科技领域。西安慕雷电子在高速数据采集卡研发及系统应用领域拥有十多年经验,2013年底发布了250MSPS 14bit 8通道高速数据采集卡MR-HA-250M,采集记录存储带宽高达3000MB/S。高速数据采集卡MR-HA-250M及记录存储系统的成功发布使得西安慕雷电子在高速数据采集卡及相关记录存储回放领域为国防及科研领域又提供了一套高性能解决方案。 图一高速数据采集卡MR-HA-250M 高速数据采集卡MR-HA-250M模块参数: ●输入接口: 连接器:SSMC; 输入方式:AC或DC耦合; 通道数量:8通道,可同步32通道 ●AFE模块: 高速数据采集卡中的信号调理模块一般采用衰减、滤波及程控增益放大器等对信号进行处理,高速数据采集卡MR-HA-250M采用信号直通AD模式,减少前端调理对高速数据采集卡动态性能影响。 图二高速数据采集卡MR-HA-250M

●ADC模块: 高速数据采集卡的ADC芯片采用Linear Tech LTC2157-14 (250 MSPS) 图三高速数据采集卡MR-HA-250M动态性能 ●时钟管理模块: 高速数据采集卡MR-HA-250M可选择外时钟、内时钟或参考时钟 ●FPGA模块: XILINX或ALTERA的FPGA芯片广泛用于高速数据采集卡中。FPGA模块开放编程是高速数据采集卡的必备能力。高速数据采集卡MR-HA-250M采用XILINX V6系列高性能FPGA。 ●DDR模块: 高速数据采集卡一般都会配有DDR缓存,存储采集过程中的数据。高速数据采集卡MR-HA-250M配置有4GB DDR2。 ●FIFO模式 高速数据采集卡将板载内存虚拟为FIFO,允许采集数据由缓冲后连续不断地通过总线传输到主机内存或硬盘中。该模式特点就是高速、大容量,使得高速数据采集卡记录时间达数小时。记录时间取决于存储介质的容量。 图四高速数据采集卡MR-HA-250M

等间距采样的高速数据采集系统设计

等间距采样的高速数据采集系统设计 郝亮,孟立凡,刘灿,高建中 (中北大学仪器科学与动态测试教育部重点实验室,太原030051) 摘要:简单介绍通过对窄脉冲等间距采样来测试电缆故障的基本原理,分析其脉冲的特点和处理要求;采用F PGA和MSP430F149作为主控芯片,设计了单路多次低速数据采集系统;利用Quartus II软件编写主控程序,并在Modelsim下进行仿真验证。实验结果表明,该系统方案切实可行,可有效解决电缆故障测距过程中的高精度数据采集问题。 关键词:等间距采样;数据采集;MSP430F149;F PGA 中图分类号:TN98文献标识码:B H igh2spe ed Data Acquisition System Based on Equidistance Sampling Hao Liang,Meng Lifan,Liu Can,Gao Jianzhong (Inst ruments Science and Dynamic Measurement Ministry of Education Key Laboratory, North University of China,T aiyuan030051,China) A bstract:T he basic principle of testing cable faults wit h narrow2pulse equidistance sampling is described.Pulse characteristics and pro2 cessing requirements are analyzed.The single2line repeated low2speed dat a acquisition system is designed with FPGA and MSP430F149 as main control chips.Main control procedures are programmed in Quartus II and simulated in Modelsim.Experimental result shows that t he system is practical,and the problem of high2precision data acquisition in the process of cable fault location is resolved effectively. K ey words:equidist ance sampling;data acquisit ion;MSP430F149;FPGA 引言 电缆故障是通信行业中的常见故障,而电缆测距是排除故障的前提条件。准确的电缆测距可以缩短发现故障点的时间,利于快速排除故障,减少损失。窄脉冲时域反射仪利用时域反射技术来测定电缆断点位置,可以同时检测出同轴传输系统中多个不连续点的位置、性质和大小。窄脉冲信号持续的时间非常短暂,为了能够有效地捕捉到窄脉冲信号,对A/D采样率和处理器速率提出了较高的要求,传统的数据采集已经不能满足系统设计需求。本文介绍的单路多次低速数据采集方案硬件结构简单,成本低,能够满足系统设计要求。 1系统设计理论依据 根据电磁波理论,电缆即传输线。假若在电缆的一端发送一探测脉冲,它就会沿着电缆进行传输,当电缆线路发生障碍时会造成阻抗不匹配,电磁波会在障碍点产生反射。在发射端,由测量仪器将发送脉冲和反射脉冲波形记录下来。实际测试中,具体障碍的波形有所差异:断线(开路)障碍时,反射脉冲与发射脉冲极性相同;而短路、混线障碍时,反射脉冲与发射脉冲极性相反。波形如图1所示。 图1发射脉冲与反射脉冲波形 设从发射窄脉冲开始到接收到反射脉冲波的时间为$t,则: l=v#$t 2 其中,v为脉冲波在电缆中的传输速度;l为电缆故障点与脉冲波送入端的距离。 由以上分析可知,在同一个固定障碍的线路上多次送入同一脉冲电压,其反射脉冲将同样地在同一位置多次出现。 要实现对反射窄脉冲的捕获和1m的测距分辨率(在波速为200m/L s的情况下),则$t= 2l v =2@1 200 =0.01L s =10ns。即要求抽样的时间分辨率为10ns,对应的数据采集系统频率高达100MHz。同时,最大测量范围是2km 时,要求发射脉冲的重复周期T= 2l v =2@2000 200 =20L s。

1仪器的工作原理及系统构成-高速数据采集卡

1 仪器的工作原理及系统构成 虚拟示波器是由信号调理器,PCI总线的数据采集卡组成的外部采集系统加上软件构成的分析处理系统组成。被测信号送到信号调理电路,进行隔离、放大、滤波整流后送数据采集卡进行A/D转换,最后由控制软件对测试信号进行数据处理,完成波形显示,参数测量、频谱分析等功能。系统结构如图1显示 图1 系统结构图 2 系统的设计及功能实现 2.1硬件部分 硬件部分主要包括传感器、信号调理电路及数据采集卡。 理电路针对不同的测试对象有不同的选择和设计。数据采集是硬件部分的核心, 它的性能直接影响数据采集的速度和精度。另外,LabVIEW可对NI公司的数据 采集卡进行驱动和配置,可充分利用采集卡的性能。基于此,我选择的数据采集 卡是NI公司生产的。下面主要介绍数据采集卡的性能和安装配置。 2.1.1 PCI—6010数据采集卡的简介 PCI—6010采集卡是基于32位PCI总线的多通道的数据采集设备,具有数 字输入/输出、模拟输入/输出和计数器等功能。它通过SH37F—37M电缆与CB —37F—LF 输入输出接口面板连接,该接口面板具有37个螺旋状的接口终端。 同时此数据采集卡具有3个完全独立的DMA控制(模拟输入、定时/计数器0、 定时/计数器1)。本卡还具有刻度校准电路系统。由于运行时,时间和温度漂移 会引起一定的模拟输入、输出误差,为了使此误差最小,可以调整设备的校准刻 度。而它的出厂校准信息存储在EEPROM中,不能修改。而修改此信息必须通 过软件来实现。

该数据采集卡具有8个差动模拟输入通道(即16个对地单信号模拟输入通道),电压范围为±5V, ±1V,±0.2V;2个模拟输出通道,电压范围为±5V。同时它还具有6个数字输入通道,4个数字输出通道。数字输入的VIH(Input high voltag e)的最小值是2.0 V, 最大值是5.25 V,VIL(Input low voltage)的最大值是0. 8 V, 最小值是–0.3 V;数字输出的IOH(Output high current)的最大值是–6 mA ,IOL (Output low current) 的最大值是2 mA。信号通道的最大采样速率是200 kS/s (single channel) ,扫描时最大采样速率是33.3 kS/s (scanning)。 2.1.2 PCI—6010数据采集卡的安装 将NI PCI—6010数据采集卡插到计算机主板的一个插槽中,接好附件。附件包括一个型号为CB—37F—LF的转接板,和一条SH37F—37M电缆。转接板直接与外部信号连接。在完成了NI PCI—6010数据采集卡的硬件连接后,就需要 安装该卡的驱动程序。安装步骤如下: (1)运行程序→National Instrument DAQ→NI-DAQ Setup。在出现对话框中 单击NEXT按钮。 (2)在出现的Seletct DAQ Devices对话框中选中NI PCI—6010,单击NEXT 按钮。 (3)在后续出现的全部对话框中单击NEXT按钮,即可完成NI PCI—6010数 据采集卡的安装。 (4)重新启动计算机。完成数据采集卡的安装。 2.1.3 PCI—6010数据采集卡的配置 在安装好数据采集卡后就要对其进行系统配置。点击图标Measurement & Automation Explorer,在弹出的Devices and Interface 中进行I/O配置。 (1) 这支采集卡在系统的设备的编号:将参数Device值设为1; (2) 设置模拟输入AI的属性:将Polarity 值设为-5V~+5V,将Mode属性设 置为Differentioal(差动); (3) 设置模拟输出AO的属性:在AO窗口中,将属性设为Bipolar(双极性)。 在完成上述设定之后,单击“确定”按钮。在Systerm窗口中有“Test Resources”按钮,可检验设备是否正确配置。通过后再进行简单的通道配置,即可完成数据采集卡的全部设置。

第10章基于研华数据采集卡的LabVIEW程序设计

第10章基于研华数据采集卡的 L a b V I E W程序设计 本章利用研华公司的PCI-1710HG数据采集卡编写LabVIEW程序,包括:模拟量输入、模拟量输出、开关量输入以及开关量输出等。 10.1 模拟量输入(AI) 10.1.1 基于研华数据采集卡的LabVIEW程序硬件线路 在图10-1中,通过电位器产生一个模拟变化电压(范围是0V~5V),送入板卡模拟量输入0通道(管脚68),同时在电位器电压输出端接一信号指示灯,用来显示电压变化情况。 图10-1 计算机模拟电压输入线路 本设计用到的硬件为:PCI-1710HG数据采集卡、PCL-10168数据线缆、ADAM-3968接线端子(使用模拟量输入AI0通道)、电位器(10K)、指示灯(DC5V)、直流电源(输出:DC5V)等。 10.1.2 基于研华数据采集卡的LabVIEW程序设计任务 利用LabVIEW编写应用程序实现PCI-1710HG数据采集卡模拟量输入。 任务要求: (1)以连续方式读取电压测量值,并以数值或曲线形式显示电压测量变化值;

(2)当测量电压小于或大于设定下限或上限值时,程序画面中相应指示灯变换颜色。

10.1.3 基于研华数据采集卡的LabVIEW程序任务实现 1.建立新VI程序 启动NI LabVIEW程序,选择新建(New)选项中的VI项,建立一个新VI程序。 在进行LabVIEW编程之前,必须首先安装研华设备管理程序Device Manager、32bit DLL驱动 程序以及研华板卡LabVIEW驱动程序。 2.设计程序前面板 在前面板设计区空白处单击鼠标右键,显示控件选板(Controls)。 (1)添加一个实时图形显示控件:控件(Controls)→新式(Modern)→图形(Graph)→波形图形(Waveform Chart),标签改为“实时电压曲线”,将Y轴标尺范围改为0.0-5.0。 (2)添加一个数字显示控件:控件(Controls)→新式(Modern)→数值(Numeric)→数值显示控件(Numeric Indicator),标签改为“当前电压值:”。 (3)添加两个指示灯控件:控件(Controls)→新式(Modern)→布尔(Boolean)→圆形指示灯(Round LED),将标签分别改为“上限指示灯:”、“下限指示灯:”。 (4)添加一个停止按钮控件:控件(Controls)→新式(Modern)→布尔(Boolean)→停止按钮(Stop Button)。 设计的程序前面板如图10-2所示。 图10-2 程序前面板 3.框图程序设计——添加函数 进入框图程序设计界面,在设计区空白 处单击鼠标右键,显示函数选板(Functions)。 在函数选板(Functions)下添加需要的函数。 (1)添加选择设备函数:用户库→ Advantech DA&C(研华公司的LabVIEW函数库)→ EASYIO → SelectPOP →,如图10-3所示。 图10-3 SelectPop函数库

DAQ数据采集卡快速使用指南

DAQ数据撷取卡快速使用指南 首先感谢您选购NI的DAQ产品,以下将简短地为您叙述快速安装与使用DAQ卡的步骤。 在安装DAQ的硬件之前,请您先确认是否安装了DAQ的驱动程序,基本上您的计算机必须有Measurement And Automation (MAX)来管理您所有的NI装置,另外您必须安装NI-DAQ软件,目前建议安装最新的版本(您可利用光盘安装或是上网下载最新版本驱动程序https://www.doczj.com/doc/8f7938296.html,/support点选Drivers and Updates),新版驱动程序可支持大多数NI的DAQ卡片,包含S、E、M系列以及USB接口产品。 在安装完成NI-DAQ之后,您可以在桌面上发现有MAX应用程序,此时您可以关闭计算机,进行硬件安装,将PCI或是PCMCIA接口的DAQ卡片插入并重新开机,开机之后操作系统会自行侦测到该装置,并且自动安装驱动程序,依照对话框的带领便能顺利完成安装程序。 安装程序完成后,建议您开启MAX在Device and interface选项中会有Traditional DAQ 以及DAQmx两个类别,那是依照您的卡片型号支持哪一种API而分类,一般而言,E系列卡片两种都支持,而M系列只支持DAQmx,S系列则不一定,在对应的Traditional DAQ 或DAQmx中找到您的DAQ卡片型号,然后建议您先进行校正以及测试。 您可参考https://www.doczj.com/doc/8f7938296.html,/support/daq/versions确认您硬件适用的版本 如何做校正与硬件测试:

若需校正硬件,请于MAX中,您所安装的卡片型号上按鼠标右键选择self-calibration 即可,系统会对DAQ卡以现在温度做一次校正。 若需测试硬件,请于MAX中,您所安装的卡片型号上按鼠标右键选择Test Panels,然后选择所要测试的项目,并且依照接脚图将讯号连接妥当即可测试,建议您分别测试AI、AO、DI以及Counter。 接脚图: 您可以在MAX中的DAQmx找到您所安装的卡片型号,并按鼠标右键,选择Device Pinout便可以依照接脚图去连接相关接法,进行量测。 接线模式(Input Configuration):接线模式一般有分为Differential、RSE、NRSE三种,其中以Differential最为准确,但此模式需要一次使用掉两个channel,一般而言,选择Differential

教你设计pci总线的高速数据采集卡(基于pci9054)

教你设计PCI总线的高速数据采集卡(基于PCI9054) 2007-03-13 21:02 眼下有不少场合需要用到PCI总线的数据采集卡,下面我就来谈一下设计PCI数采卡的原理及要点。 首先我要以我的实际经验,纠正存在于很多人心里的几个误区: 1.设计PCI采集卡要通读PCI协议。 相信有很多初学者都在这个地方被吓住了,几百页的英文要通读并理解谈何容易!其实PCI协议处理的这部分功能已经被PCI接口芯片完成了,如PLX公司的9054、9056和9052等等,它封装了PCI协议的细节,我们只需要控制这颗接口芯片local端的几个控制线就可以完成PCI总线的数据传输。PCI协议也有它的用处,我们只需要在某些需要注意的地方查阅一下相关章节即可,比如PRSNT1#和PRSNT2#引脚至少要有一个下拉,才能识别到卡,这就是PCI协议中的规定。 2. PCI卡布线很复杂,一不小心就可能不成功。 其实对于32位33MHz的PCI总线来说,布线相对比较简单,只要稍加注意就不会出问题。比如:PCI总线的时钟线要做成2500(+/-100)mil,这个是要注意的一点,一般PCI卡上的蛇行弯曲走线就是这条线,因为走直线距离一般都达不到此长度。其他要求,比如地址和数据线要在1500mil以内,其实你超过一些也没什么问题,不要超太多就好了。 3. PCI卡的驱动程序编写很难。 其实无论是软件还是硬件设计,都有一些相对成熟的资料可以参考。对驱动程序来说也是这样,对实际项目的开发没有几个是从头到尾自己在编代码,都可以在网上找到一些成熟的代码,然后自己修改一下即可,况且PCI卡的驱动程序又相对比较成熟,可参考的资料也较多。所以你要从网上找代码,向PCI接口芯片的供应商要代码,等收集到足够多的代码,再配以适当的教材(比如对于windows2000/XP系统下的WDM驱动程序,可以参考武安河老师的教材就足够),就可以进行你自己的驱动设计了。 下面我再针对具体应用谈谈PCI采集卡的设计: 一般数采卡的情况是将A/D转换后的数据通过PCI总线上传到PCI机,然后利用

基于 SAA7130HL 的视频采集卡设计

基于SAA7130HL的视频采集卡设计 宗靖国,向健勇,王新怀 (西安电子科技大学技术物理学院光电图像处理研究室,陕西西安 710071) 摘 要介绍了PHILIPS公司的第五代“精显芯片”SAA7130 HL的主要功能及性能特点,提出了一种基于SAA7130的视频采集卡设计方案,并详细说明了该采集卡的硬件框图和软件程序设计。实际的测试和使用证明了该采集卡的稳定性和可靠性。 关键词SAA7130HL;视频采集卡;I2C总线;WDM驱动程序 中图分类号 TN919.8 在实时图像采集系统中,由于图像数据量庞大,在使用计算机采集数据时,传统的方法,像使用串口、并口或ISA卡等方式传送数据不能适应高速大容量数据吞吐的需要,而需要一种高速率传输的总线以适应系统设计的需要。PCI总线因其众多的功能、强大的兼容性、传输的高速率很好地解决了计算机系统的总线传输问题。 近年来,图像处理技术迅猛发展,对图像采集设备要求也越来越高。当前有关图像采集的设备,主要包括两大类:一类是视频采集卡,主要用于监控系统。常用的接口芯片有AMCC S5933,PLX9054,常用的一体化芯片有BT878和PHILIPS 的 SAA7130/7134。BT878是早期的视频芯片,PHILIPS SAA7130/7134属于最新一代的视频芯片,最高分辨率可以达到768×576,图像质量较高。另一类是数码摄像产品,内带存储设备,可随身携带。 1 SAA7130HL的主要特点 SAA7130是一款将视频采集功能集成于一体的芯片。它在单片上集成了视频数字化、解码、PCI 接口电路。还提供了通用I/O(GPIO)接口组,支持各种扩展应用。 SAA7130HL是符合PCI标准协议高度集成化、低功耗的一款芯片,专门针对模拟电视信号和数字视频信号。支持多种数字视频格式,以总线主方式写的形式通过PCI总线传输进计算机。它通过9位的ADC采样后进入标准译码器,通过梳状滤波器和高性能计数器进行二维的色度/亮度分离,包括可 变化的水平和垂直上下扫描范围和亮度,对比度和饱和度控制电路。支持包括YUV,RGB在内的多种视频输出格式,可自动进行GAMMA校正、黑电平延伸。 SAA7130HL的GPIO接口,支持多种扩展功能,可接MPEG压缩芯片或高速信号处理器(DSP)。传输数据流通过GPIO接口输入进计算机定义好的内存,各种内部事件或是GPIO的状态信息都可引发PCI总线上中断。 2 基于SAA7130HL的视频采集卡 2.1 系统框图 因为SAA7130内部集成了视频AD,PCI接口等功能,并且价格也比较便宜,制作模拟视频的PCI 采集卡结构简单,图像质量也有保证。图1给出了基于SAA7130HL的视频采集卡的方框图。 该采集卡主要分为视频输入部分、逻辑控制芯片部分、I2C串行EEPROM加载芯片AT24c08部分、各种接口,包括视频输出口、TV高频头接口。 2.1.1 视频输入部分 视频输入部分提供5个模拟输入,内部模拟源选择器,如:5 CVBS 或(Y/C 和1CVBS)或(1Y/C 和3 CVBS)。 2.1.2 逻辑控制芯片部分 逻辑控制芯片在此主要有两个功能:一方面是完成对信号的锁存;另一方面完成采集卡的硬件加密。CPLD有加密位,可以方便的加密,并且加密后不容易破解。从而保护了该卡不被盗版。 电子科技 2005年第10期(总第193期) 52

5 Gsps高速数据采集系统的设计与实现

5 Gsps 高速数据采集系统的设计与实现 摘要:以某高速实时频谱仪为应用背景,论述了5 Gsps 采样率的高速数据采集系统的构成和设计要点,着重分析了采集系统的关键部分高速ADC(analog to digital,模数转换器)的设计、系统采样时钟设计、模数混合信号完整性设计、电磁兼容性设计和基于总线和接口标准(PCI Express)的数据传输和处理软件设计。在实现了系统硬件的基础上,采用Xilinx 公司ISE 软件的在线逻辑分析仪(ChipScope Pro)测试了ADC 和采样时钟的性能,实测表明整体指标达到设计要求。给出上位机对采集数据进行处理的结果,表明系统实现了数据的实时采集 存储功能。关键词:高速数据采集;高速ADC;FPGA;PCI Express 高速实时频谱仪是对实时采集的数据进行频谱分析,要达到这样的目的,对数据采集系 统的采样精度、采样率和存储量等指标提出了更高的要求。而在高速数据采集 系统中,ADC 在很大程度上决定了系统的整体性能,而它们的性能又受到时钟质量的影响。为满足系统对高速ADC 采样精度、采样率的要求,本设计中提 出一种新的解决方案,采用型号为EV8AQ160 的高速ADC 对数据进行采样;考虑到ADC 对高质量、低抖动、低相位噪声的采样时钟的要求,采用AD9520 为5 Gsps 数据采集系统提供采样时钟。为保证系统的稳定性,对模数混合信号完整性和电磁兼容性进行了分析。对ADC 和时钟性能进行测试,并给出上位 机数据显示结果,实测表明该系统实现了数据的高速采集、存储和实时后处理。 1 系统的构成高速数据采集系统主要包括模拟信号调理电路、高速ADC、高速时钟电路、大容量数据缓存、系统时序及控制逻辑电路和计算机接口电路等。图1 所示为5 Gsps 高速数据采集系统的原理框图。所用ADC 型号为EV8AQ160,8 bit 采样精度,内部集成4 路ADC,最高采样率达5 Gsps,可以工作在多种模式下。通过对ADC 工作模式进行配置,ADC 既可以工作在采样

高速数据采集卡在超声领域的最新解决方案

高速数据采集卡在超声检测领域的最新解决方案 高速数据采集卡作为进行相关超声测量的理想工具,在开发、测试、操作超声产品中可以发挥关键作用。高速数据采集卡和任意波形发生器提供宽范围的带宽、采样率和动态范围,能够完美匹配超声测量的的相关需求。 图1,M4i.4451-x8 14bit 500MS/s PCIe 接口高速数据采集卡采集超声信号 超声应用: 超声波是一种频率超过人耳听觉范围的一种声波。超声波设备操作频率一般从20 kHz到几GHz不等。表1总结了一些超声应用的典型频率范围。 每个应用领域的的频率使用范围都反映出工程上的权衡。增加了操作频率来提高分辨率可实现对较小的工件精确检测,但另一方面,较高频率信号的渗透能力是有限的,超声波应用的常见问题是信号衰减、其与信号频率成反比。因此,非常高频率往往应用与物体表面研究应用中,相对的低频率往往应用在需要更大的渗透和能量的应用中。北京坤驰科技有限公司所提供的高速数据采集卡具有较宽动态范围,可以在检测大信号的同时,检测到的小信号,可适应较多的应用场景。 应用举例:

表1:常用超声应用的推荐产品 采样率: 通常高速数据采集卡产品的选择是基于应用使用的频率的,高速数据采集卡的采集速率通常要5到10倍于工程应用频率,也就是需要采集和检测的信号频率。但在多普勒频移应用中,因其经常需要测量信号的某些特定的小的片段,需要很高时间分辨率,高速数据采集卡的采样率有时需要多达测量频率的10倍以上。 带宽: 高速数据采集卡的带宽应该超过工程应用的最高频率。工作带宽较低将导致高频频率信号衰减,并可能限制测量的分辨率和准确性。 动态范围: 增加数字转换器的动态范围(位数)可实现小信号的检测。高分辨率ADC通常提供更好的信噪比,可实现采集卡同时检测大信号和小信号。这就是为什么应用系统前端通常使用更高分辨率的ADC或信号处理(如平均和过滤)来提高他们的整体测量灵敏度。 其他方面: 高速数据采集卡的输入电路必须与超声传感器的输出阻抗和耦合元件相

基于研华数据采集卡的LabVIEW程序设计

第10章基于研华数据采集卡的L a b V I E W 程序设计 本章利用研华公司的PCI-1710HG数据采集卡编写LabVIEW程序,包括:模拟量输入、模拟量输出、开关量输入以及开关量输出等。 10.1 模拟量输入(AI) 10.1.1 基于研华数据采集卡的LabVIEW程序硬件线路 在图10-1中,通过电位器产生一个模拟变化电压(X围是0V~5V),送入板卡模拟量输入0通道(管脚68),同时在电位器电压输出端接一信号指示灯,用来显示电压变化情况。 图10-1 计算机模拟电压输入线路 本设计用到的硬件为:PCI-1710HG数据采集卡、PCL-10168数据线缆、ADAM-3968接线端子(使用模拟量输入AI0通道)、电位器(10K)、指示灯(DC5V)、直流电源(输出:DC5V)等。 10.1.2 基于研华数据采集卡的LabVIEW程序设计任务 利用LabVIEW编写应用程序实现PCI-1710HG数据采集卡模拟量输入。 任务要求: (1)以连续方式读取电压测量值,并以数值或曲线形式显示电压测量变化值;

(2)当测量电压小于或大于设定下限或上限值时,程序画面中相应指示灯变换颜色。 209 / 21

10.1.3 基于研华数据采集卡的LabVIEW程序任务实现 1.建立新VI程序 启动NI LabVIEW程序,选择新建(New)选项中的VI项,建立一个新VI程序。 在进行LabVIEW编程之前,必须首先安装研华设备管理程序Device Manager、32bitDLL驱动 程序以及研华板卡LabVIEW驱动程序。 2.设计程序前面板 在前面板设计区空白处单击鼠标右键,显示控件选板(Controls)。 (1)添加一个实时图形显示控件:控件(Controls)→新式(Modern)→图形(Graph)→波形图形(Waveform Chart),标签改为“实时电压曲线”,将Y轴标尺X围改为0.0-5.0。 (2)添加一个数字显示控件:控件(Controls)→新式(Modern)→数值(Numeric)→数值显示控件(Numeric Indicator),标签改为“当前电压值:”。 (3)添加两个指示灯控件:控件(Controls)→新式(Modern)→布尔(Boolean)→圆形指示灯(Round LED),将标签分别改为“上限指示灯:”、“下限指示灯:”。 (4)添加一个停止按钮控件:控件(Controls)→新式(Modern)→布尔(Boolean)→停止按钮(Stop Button)。 设计的程序前面板如图10-2所示。 图10-2 程序前面板 3.框图程序设计——添加函数 进入框图程序设计界面,在设计区空白 处单击鼠标右键,显示函数选板(Functions)。 在函数选板(Functions)下添加需要的函数。 (1)添加选择设备函数:用户库→ Advantech DA&C(研华公司的LabVIEW函数库) →EASYIO→SelectPOP→Sel ectDevicePop.vi,如 图10-3 SelectPop函数库

相关主题
文本预览
相关文档 最新文档