当前位置:文档之家› 计算机组成原理-在线作业-3

计算机组成原理-在线作业-3

计算机组成原理-在线作业-3
计算机组成原理-在线作业-3

计算机组成原理_在线作业_3

交卷时间:2016-06-11 14:32:52

一、单选题

1.

(5分)

系统总线中控制线的功能是()。

? A. 提供主存、I / O接口设备的响应信号

? B. 提供数据信息

? C. 提供主存、I / O接口设备的控制信号响应信号

? D. 提供时序信号

纠错

得分:0

知识点:6.1 总线的概念和结构形态

展开解析

答案C 解析

2.

(5分)

双端口存储器在()情况下会发生读/写冲突。

? A. 左端口与右端口的地址码不同

? B. 左端口与右端口的数据码不同

? C. 左端口与右端口的地址码相同

? D. 左端口与右端口的数据码相同

纠错

得分:5

知识点:3.5 CACHE存储器

展开解析

答案C 解析

3.

(5分)

在计算机中字符采用()表示。

? A. 原码

? B. ASCII码

? C. 补码

? D. 反码

纠错

得分:5

知识点:2.1 数据表示(数据与文字表示方法)

展开解析

答案B 解析

4.

(5分)

某寄存器中的值有时是地址,因此只有计算机的()才能识别它。

? A. 译码器

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

计算机组成原理 作业三

计算机组成原理作业三 1.设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128 2.设机器字长为8位(含1位符号位),用补码运算规则计算下列各题。 (1)A=9/64,B=-13/32,求A+B; 解: (1)A=9/64=(0.001 0010)2 B= -13/32=(-0.011 0100)2 [A]补=0.001 0010 [B]补=1.100 1100 [A+B]补= 0. 0 0 1 0 0 1 0 + 1. 1 0 0 1 1 0 0 1. 1 0 1 1 1 1 0 ——无溢出 A+B=(-0.010 0010)2 = -17/64 (2)A=19/32,B=-17/128,求A-B; 解: (2)A=19/32=(0.100 1100)2 B= -17/128=(-0.001 0001)2 [A]补=0.100 1100 [B]补=1.110 1111 [-B]补=0.001 0001 [A-B]补= 0. 1 0 0 1 1 0 0 + 0. 0 0 1 0 0 0 1 0. 1 0 1 1 1 0 1 ——无溢出 A-B=(0.101 1101)2 = 93/128 3. 试比较基址寻址和变址寻址。 答:基址寻址需设有基址寄存器BR,其操作数的有效地址EA等于指令字中的形式地址与基址寄存器中的内容(称作基地址)相加。即EA=A+(BR) 变址寻址有效地址EA等于指令字中的形式地址A与变址寄存器IX的内容相加之和。即EA=A+(IX) 变址寻址与基址寻址的有效地址形成过程极为相似。 两者的不同: 基址寻址主要用于为程序或数据分配存储空间,故基址寄存器的内容通常由操作系统或管理程序确定,而指令字中的A是可变的。 在变址寻址中,变址寄存器的内容是由用户设定的,在程序执行过程中其值可变,而指令字中的A是不可变的。变址寻址主要用于处理数组问题,在数组处理过程中,可设定A为数组的首地址,不断改变变址寄存器IX的内容,便可很容易形成数组中任一数据的地址,特别适合编制循环程序。 4.什么是中断?设计中断时需考虑哪些问题? 答:当主机正在繁忙地处理它的具体事务时,某个外设向主机提出需要临时处理问题的请求,于是主机响应外设请求暂时中断正在执行的程序,转去处理外部事件,处理完后再返回到被中断程序的断点处继续执行原程序的过程,称为中断。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理3-7章作业答案

第3章习题参考答案 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用存条结构形式,问; (1) 若每个存条为16M ×64位,共需几个存条? (2) 每个存条共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各存条? 解: (1) 共需 条464 1664 226=??M 存条 (2) 每个存条共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个存条,故CPU 选择存条用最高两位地址A 24 和A 25通 过2:4译码器实现;其余的24根地址线用于存条部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32 位——进行字长位数扩展(一组的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、D 16~D 23和D 24~D 31,其余同名引脚互连),需要低14位地址(A 0~A 13)作为模块各个芯片的部单元地址——分成行、列地址两次由A 0~A 6引脚输入;然后再由4组进行存储器容量扩展,用高两位地址A 14、A 15通过2:4译码器实现4组中选择一组。画出逻辑框图如下。 (2) 设刷新周期为2ms ,并设16K ?8位的DRAM 结构是128?128?8存储阵列,则对所有单元全部刷新一遍需要128次(每次刷新

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

计算机组成原理 作业三

《计算机组成原理》作业(三) 学完6-7章后可以完成作业(二)。作业总分100分,将作为平时成绩记入课程总成绩。 一、简答题(每题6分,共30分) 1、什么就是总线?总线传输有何特点?为了减轻总线负载,总线上的部件应具备什么 特点? 答:总线就是连接各个部件的信息传输线,就是各个部件共享的传输介质。总线 上信息传输的特点:某一时刻只允许有一个部件向总线发送信息, 但多个部件可以同时从总线上接收相同的信息。 以CPU片内总线为例,在每个需要将信息送至总线的寄存器输出端接三态门,由三态 门控制端控制什么时刻由哪个寄存器输出。当控制端无效时,寄存器与总线之间呈 高阻状态。 2、为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种 方式响应时间最快?哪种方式对电路故障最敏感? 答:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式 优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。 3、简要说明程序中断接口中IM、IR、EI、RD、BS五个触发器的作用。 五个触发器的作用: 中断屏蔽触发器(IM):CPU就是否受理中断或批准中断的标志。Im标志为“0” 时,CPU 可受理外界中断请求。 中断请求触发器(IR):暂存中断请求线上由设备发出的中断请求信号,IR标志为“1” 时, 表示设备发出了中断请求。 允许中断触发器(EI):用程序指令来置位,控制就是否允许某设备发出中断请求。IE 为“1”时,某设备可以向CPU发出请求。 准备就绪的标志(RD):一旦设备做好一次数据的接收或发送,便发出一个设备动作完 毕信号,使RS标志为“1”。 工作触发器(BS):设备“忙”的标志。BS=1,表示启动设备工作

南信大 计算机组成原理期末练习题

计算机组成原理薛胜军题库 第1章概述 一、选择题 o冯·诺依曼(Von Neumann)机工作方式的基本特点是。 A.指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 o下列描述中是正确的。 A.控制器能够识别、解释和执行所有的指令及存储结果 B.计算机主要由输入输出单元、控制器、存储器和算术逻辑单元构成 C.所有的数据运算都在控制器中完成 D.以上三者都正确 o计算机系统中的存储器系统是指。 A.RAM存储器; B.ROM存储器; C.主存储器; D.主存储器和外存储器。 o计算机科技文献中,英文缩写CAI代表。 A.计算机辅助制造 B.计算机辅助教学 C.计算机辅助设计 D.计算机辅助管理 o能够被计算机硬件直接识别的语言是。 A.汇编语言 B.高级语言 C.机器语言 D.应用语言o计算机软件分为两大类,他们是。 A.操作系统与应用软件 B.操作系统与系统软件 C.操作系统与CAD软件 D.系统软件与应用软件 o完整的计算机系统是由组成的。 A.主机与外设 B.CPU与存储 C.ALU与控制器 D.硬件系统与软件系统 o高级语言源程序不能直接在计算机上运行,需要有相应的语言处理程序翻译成程序后才能运行。 A.C语言 B.汇编语言 C.机器语言 D.宏汇编语言

o当前设计高性能计算机的重要技术途径是___。 A.提高CPU主频 B.扩大主存容量 C.采用非冯·诺依曼结构 D.采用并行处理技术 o下列体系结构中,最适合多个任务并行执行的体系结构是___。 A.流水线向量机结构 B.堆栈处理机结构 C.共享存储多处理机结构 D.分布存储多计算机结构 二、填空题 o电子计算机从诞生至今,按其工艺和器件特点,大致经历了四代。第一代从 A 年开始,采用 B ;第二代从 C 年开始,采用 D ;第三代从 E 年开始,采用 F ;第四代从 G 年开始,采 用 H 。 o计算机的硬件是指 A ,软件是指 B 。 o计算机将部分软件存储在只读存储器中,称之为 A 。 o将许多电子元件集成在一块芯片上称为 A 。 o系统软件是 A ,应用软件是 B ,操作系统是 C 。 o数据库是 A ,数据库管理系统是 B 。 o计算机系统结构的发展和演变看,早期的计算机是以 A 为中心的系统结构,而近代的计算机是以 B 为中心的系统结构。 o在下列常用术语后面,写出相应的中文名称: VLSI__ A ___MPP___ B___RISC____ C__DMA___ D__ o在由n台计算机构成的并行计算机中,其运行程序的加速比一般都小于n,其主要原因是___ A__和__ B____。 o在计算机系统当中,根据应用条件和硬件资源的不同,数据传输方式可以采用 A___传送、 B __传送和 C___传送三种方式。 o为了使计算机能够直接处理十进制形式的数据,采用 A___形式和 B___形式两种表示形式。前者主要用在 C___计算的应用领域。 o字符信息是_ A_ _数据,属于处理 B___领域的问题。国际上采用的字符系统是七单位的 C___码。 三、问答题 o冯·诺依曼计算机体系的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? o计算机的系统软件和应用软件的作用是什么? o试说明冯·诺依曼计算机结构的特点。 o计算机一般有哪些分类方法? o试说明计算机的层次结构。 o简述计算机的工作特点。

《计算机组成原理》第三章课后题参考答案

第三章课后习题参考答案 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴ 该存储器能存储的信息为:1M×32/8=4MB (2)(1024K/512K)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设DRAM芯片存储体结构为128行,每行为128×8个存储元。如单元刷新间隔不超过2ms,存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为 N=(64K/16K)×(32位/8位)=16(片) 每4片组成16K×32位的存储区,有A 13-A 作为片内地址,用A 15 A 14 经2:4译码 器产生片选信号,逻辑框图如下所示:

(2)根据已知条件,CPU在1us内至少访存一次,而整个存储器的平均读/写周期为0.5us,如果采用集中刷新,有64us的死时间,肯定不行; 所以采用分散式刷新方式: 设16K×8位存储芯片的阵列结构为128行×128列,按行刷新,刷新周期T=2ms,则分散式刷新的间隔时间为: t=2ms/128=15.6(s) 取存储周期的整数倍15.5s(0.5的整数倍) 则两次刷新的最大时间间隔发生的示意图如下 可见,两次刷新的最大时间间隔为t MAX =15.5×2-0.5=30.5 (μS) t MAX 对全部存储单元刷新一遍所需时间为t R =0.5×128=64 (μS) t R 4.有一个1024K×32位的存储器,由128K×8位DRAM芯片构成。问: (1)总共需要多少DRAM芯片? (2)设计此存储体组成框图。 (3)设DRAM芯片存储体结构为512行,每行为256×8个存储元。采用分散式刷新方式,如单元刷新间隔不超过8ms,则刷新信号周期是多少?

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理(薛胜军)课后习题答案第三版(1)

1. 1 计算机是一种能自动地、高速地对各种数字化信息进行运算处理的电子设备。 1. 2 冯诺依曼计算机体系结构的基本思想是存储程序,也就是将用指令序列描述的解题程序与原始数据一起存储到计算机中。计算机只要一启动,就能自动地取出一条条指令并执行之,直至程序执行完毕,得到计算结果为止。 按此思想设计的计算机硬件系统包含:运算器、控制器、存储器、输入设备和输出设备。 各部分的作用见教材:P10—P12 1. 3 计算机的发展经历了四代。 第一代:见教材P1 第二代:见教材P2 第三代:见教材P2 第四代:见教材P2 1.4系统软件定义见教材:P12—13,应用软件定义见教材:P12 1.5见教材:P14—15 1.6见教材:P11 1.7见教材:P6—8 1.8硬件定义见教材:P9 软件定义见教材:P12 固件定义见教材:P13 1.9 1)听觉、文字、图像、音频、视频 2)图像、声音、压缩、解压、DSP 1.10处理程度按从易到难是: 文本→图形→图像→音频→视频 第二章 2.1各数的原码、反码、补码和移码见下表:

2.2 27/64=00011011/01000000=0.0110110=0.11011×2-1 规格化浮点表示为:[27/64]原=101,011011000 [27/64]反=110,011011000 [27/64]补=111,011011000 同理:--27/64=--0.11011×2-1 规格化浮点表示为:[27/64]原=101,111011000 [27/64]反=110,100100111 [27/64]补=111,100101000 2.3 模为:29=1000000000 2.4 不对,8421码是十进制的编码 2.5浮点数的正负看尾数的符号位是1还是0 浮点数能表示的数值范围取决于阶码的大小。 浮点数数值的精确度取决于尾数的长度。 2.6 1)不一定有N1>N2 2)正确 2.7 最大的正数:0111 01111111 十进制数:(1-2-7)×27 最小的正数:1001 00000001 十进制数:2-7×2-7 最大的负数:1001 11111111 十进制数:--2-7×2-7 最小的负数:0111 10000001 十进制数:--(1-2-7)×27 2.8 1)[x]补=00.1101 [y]补=11.0010 [x+y]补=[x]补+[y]补=11.1111无溢出 x+y= -0.0001 [x]补=00.1101 [--y]补=00.1110 [x-y]补=[x]补+[--y]补=01.1011 正向溢出 2)[x]补=11.0101 [y]补=00.1111 [x+y]补=[x]补+[y]补=00.0100 无溢出 x+y= 0.0100 [x]补=11.0101 [--y]补=11.0001 [x-y]补=[x]补+[--y]补=10.0110 负向溢出 3) [x]补=11.0001 [y]补=11.0100 [x+y]补=[x]补+[y]补=10.0101 负向溢出 [x]补=11.0001 [--y]补=00.1100 [x-y]补=[x]补+[--y]补=11.1101 无溢出 X-y=-0.0011 2.9

计算机组成原理A形考作业3参考解答

计算机组成原理A形考作业3参考解答 一、选择题 1.下列部件(设备)中,存取速度最快的是____B____。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 2.某SRAM芯片,其容量为1K×8位,加上电源端和接地端,该芯片引出线的最少数目应为___D___。 A.23 B.25 C.50 D.20 3.在主存和CPU之间增加Cache的目的是___C___。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 4.在独立编址方式下,存储单元和I/O设备是靠___A___来区分的。 A.不同的地址和指令代码 B.不同的数据和指令代码 C.不同的数据和地址 D.不同的地址 5.随着CPU速度的不断提升,程序查询方式很少被采用的原因是__C____。 A.硬件结构复杂 B.硬件结构简单 C.CPU与外设串行工作 D.CPU与外设并行工作 6.在采用DMA方式的I/O系统中,其基本思想是在___B___之间建立直接的数据通路。 A.CPU与外设 B.主存与外设 C.CPU与主存 D.外设与外设 二、判断题判断下列说法是否正确,并说明理由。 1.CPU访问存储器的时间是由存储器的容量决定的,存储器容量越大,访问存储器所需的时间越长。 错。CPU访问存储器的时间不取决于存储器容量,而取决于存储器存取周期和存储器带宽。 2.引入虚拟存储系统的目的,是为了加快外存的存取速度。 错。引入虚拟存储系统的目的,是为了解决主存容量小、运行成本高的问题。 3.按主机与接口间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 错。应该是按主机与外设间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 4.DMA控制器通过中断向CPU发DMA请求信号。 错。应该是当结束数据传送时,DMA控制器通过中断向CPU发出请求信号。 三、简答题 1.在三级存储体系中,主存、外存和高速缓存各有什么作用?各有什么特点? 答:由主存、外存和高速缓存组成的三级存储体系是为了解决存储器的速度、容量的成本之间的矛盾。

南信大计算机组成原理实验二报告

南京信息工程大学实验(实习)报告 实验(实习)名称在印刷电路板上实现元器件日期 2012.4.1得分指导教师马利 系计软院专业计科年级2010班次1班姓名学号 一、实验目的 1.初步了解印制电路板; 2.学会如何在印制电路板上布置元器件; 3.实现手动与自动布线。 二、实验内容 1.完成图纸设置(改图纸号); 2.添加元器件库; 3.用若干片2114组成2KX8位的存储器,译码器采用74LS138,CPU采用8088,地址锁 存器采用8282,数据缓存器采用8286; 4.绘出完整的原理图,并生成网络表; 5.在印纸电路板中进行元器件布置。 三、实验步骤 1.本实验的前四个步骤与第一次实验相同,下面是实验一的最终原理图; 2.在印制电路板上的进行元器件布置;

建立一个PCB文件,然后双击打开该文件。 3.选择KeepOutLayer; 在这一层上,画上一个6*6的正方形框

4.选择Design→Load Nets ,在PCB文件中导入网络表。

选择执行(EXcute),在PCB文件中生成印制板元件封装图。 然后将它们一个一个整齐的放入框中,放完之后的原理图如下; 5.布置电源线,一般横线在顶板TopLayer上,而竖线在底板BottomLayer上,然后布线。

6.上面的几步骤均为手动布线,现在进行自动布线,点击AutoRoute并且选择ALL,

7.执行之后的原理图。 8.保存工作空间后,关闭文件并退出。 四、实验总结 通过这一次的实验课,进一步了解了该软件的操作流程,更是在上一次的基础上学会了手动布线跟自动布线,学会了不少东西啊。

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

电子科大《计算机组成原理》在线作业3.

14春13秋 13春电子科大《计算机组成 14春13秋 13春电子科大《计算机组成原理》在线作业3 1 2 试卷总分:100 测试时间:-- 单选题、单选题(共 25 道试题,共 100 分。) 1. 以下描述中基本概念不正确的是()。 A. PCI总线是层次总线 B. PCI总线采用异步时序协议和分布式仲裁策略 C. Futurebus+总线能支持64位地址 D. Futurebus+总线适合于高成本的较大规模计算机系统满分:4 分 2. 双端口 存储器所以能高速进行读写,是因为采用()。 A. 高速芯片 B. 两套相互独立的 读写电路 C. 流水技术 D. 新型器件满分:4 分 3. 在集中式总线仲裁中,()方式对电路故障最敏感。 A. 链式查询 B. 计数器定时查询 C. 独立请求满分:4 分 4. 存储单元是指()。 A. 存放一个机器字的所有存储元 B. 存放一个二进制信息位的存 储元 C. 存放一个字节的所有存储元的集合 D. 存放两个字节的所有存储元的集合 满分:4 分 5. 从信息流的传送效率来看,()工作效率最低。 A. 三总线系统 B. 单总线系统 C. 双总线系统 D. 多总线系统满分:4 分 6. 程序控制类指令的功能是()。 A. 进行算术运算和逻辑运算 B. 进行主存和CPU之间的数据传送 C. 进行CPU和I/O设备之间的数据传送 D. 改变程序执行的顺序满分:4 分 7. 带有处理器的设备一般称为()设备。 A. 智能化 B. 交互式 C. 远程通信 D. 过程控制满分:4 分 8. 至今为止,计算机中的所有信息仍以二进制方式表示的理由是()。 A. 节约元件 B. 运算速度快 C. 物理器件性能决定 D. 信息处理方便满分:4 分 9. 寄存器间接寻址方式中,操作数处在()。 A. 通用寄存器 B. 程序计数器 C. 堆栈 D. 主存 单元满分:4 分 10. 对计算机的产生有重要影响的是()。 A. 牛顿、维纳、图灵B. 莱布尼兹、布尔、图灵 C. 巴贝奇、维纳、麦克斯韦 D. 莱布尼兹、布尔、克雷 满分:4 分 11. 描述汇编语言特性的概念中,有错误的句子是()。 A. 对程序员 的训练要求来说,需要硬件知识 B. 汇编语言对机器的依赖性高 C. 用汇编语言编制程序的难度比高级语言小 D. 汇编语言编写的程序执行速度比高级语言快满分:4 分 12. 中断向量地址是()。 A. 子程序入口地址 B. 中断服务例行程序入口地址 C. 中断服务例行程序入口地址的指示器 D. 中断返回地址满分:4 分 13. 在微型机系 统中,外围设备通过()与主板的系统总线相连接。 A. 适配器 B. 设备控制器 C. 计数器 D. 寄存器满分:4 分 14. 某一SRAM芯片,其容量为512×8位,考

计算机组成原理

吉林大学网络教育学院2019-2020学年第一学期期末考试《计算机组成原理》大作业 学生姓名专业 层次年级学号 学习中心成绩

年月日 作业完成要求:大作业要求学生手写,提供手写文档的清晰扫描图片,并将图片添加到word 文档内,最终wod文档上传平台,不允许学生提交其他格式文件(如JPG,RAR等非word 文档格式),如有雷同、抄袭成绩按不及格处理。 一、简答题(每小题10分,共70分) 1、基本的软件系统包括哪些内容? 2、计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 3、冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 4、早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 5、什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 6、按其任务分,总线有哪几种类型?它们的主要作用是什么? 7、计算机的主要特点是什么? 二、计算题(每小题15分,共30分) 1、某8位计算机采用单总线结构,地址总线17根(A16~0,A16为高位),数据总线8根双向(D7~0),控制信号R/W(高电平为读,低电平为写)。 已知该机存储器地址空间从0连续编址,其地址空间分配如下:最低8K为系统程序区,由ROM芯片组成;紧接着40K为备用区,暂不连接芯片;而后78K为用户程序和数据空间,用静态RAM芯片组成;最后2K用于I/O设备(与主存统一编址)。现有芯片如下:SRAM:16K×8位,其中CS:为片选信号,低电平有效,WE:为写控制信号,低电平写,高电平读。 ROM:8K×8位,其中CS:为片选信号,低电平有效,OE:为读出控制,低电平读出有效。 译码器:3—8译码器,输出低电平有效;EN为使能信号,低电平时译码器功能有效。 其它“与、或”等逻辑门电路自选。 (1)请问该主存需多少SRAM芯片?

相关主题
文本预览
相关文档 最新文档