当前位置:文档之家› 组合逻辑电路

组合逻辑电路

组合逻辑电路
组合逻辑电路

第三章组合逻辑电路

基本知识点

*组合逻辑电路的特点

*组合逻辑电路功能的表示方法及相互转换

*组合逻辑电路的分析方法和设计方法

*常用集成组合逻辑电路的逻辑功能、使用方法和应用举例

*组合逻辑电路中的竞争–冒险现象及消除竞争–冒险现象的常用方法

3.1概述

在数字电路中根据逻辑功能的不同特点,可将其分为两大类:一类是组合逻辑电路,另一类是时序逻辑电路。

组合逻辑电路在逻辑功能上的共同特点是:任意时刻的输出状态仅取决于该时刻的输入状态,与电路原来的状态无关。在电路结构上的特点是:它是由各种门电路组成的,而且只有从输入到输出的通路,没有从输出到输入的反馈回路。由于组合逻辑电路的输出状态与电路的原来状态无关,所以组合逻辑电路是一种无记忆功能的电路。由此可知第二章中介绍的各种门电路都属于组合逻辑电路。

描述一个组合逻辑电路逻辑功能的方法很多,通常有:逻辑函数表达式、真值表、逻辑图、卡诺图、波形图五种。它们各有特点,又相互联系,还可以相互转换。

3. 2逻辑功能各种表示方法的特点及其相互转换

一、逻辑功能各种表示方法的特点

1、逻辑函数表达式

逻辑表达式是用与、或、非等基本运算来表示输入变量和输出函数因果关系的逻辑代数式。其特点是形式简单、书写方便,便于进行运算和转换。但表达式形式不唯一。

2、真值表

真值表是根据给定的逻辑问题,把输入变量的各种取值的组合和对应的输出函数值排列成表格。其特点是:直观、明了,可直接看出输入变量与输出函数各种取值之间的一一对应关系。真值表具有唯一性。

3、逻辑图

逻辑图是用若干基本逻辑符号连接成的电路图。其特点是:与实际使用的器件有着对应关系,比较接近于实际的电路,但它只反映电路的逻辑功能而不反映电气参数和性能。同一种逻辑

功能可以用多种逻辑图实现,它不具备唯一性。 4、卡诺图

卡诺图是按相邻性原则排列的最小项的方格图。它实际上是真值表的特定的图示形式。其特点是在化简逻辑函数时比较直观容易掌握。卡诺图具有唯一性,但化简后的逻辑表达式不是唯一的。 5、波形图

波形图是利用高、低电平来代表逻辑1和逻辑0,由此而画出的图形。其特点是可以直观、清晰地看到输入变量和输出函数间随时间变化的对应的逻辑关系的全过程。波形图具有唯一性。

二、各种表示方法的相互转换 1、逻辑函数表达式与真值表 (1)由逻辑函数表达式列真值表 根据表达式列真值表有如下两种方法

方法一:将输入变量的所有取值一一代入逻辑函数表达式中,求出所对应的逻辑函数值。再将它们列成表格即可得到真值表。

【例3.2.1】根据B A C A Y +=逻辑函数表达式写出它的真值表

解:写出A 、B 、C 三个变量的八种不同取值的组合,再将各种取值分别代入逻辑函数表达式中得到对应的逻辑函数Y 的值。列表即可。见表3-1 表3-1

方法二:先将逻辑函数表达式转换为标准的与或表达式,再将式中每个最小项对应的函数值填为“1”,其余的最小项填为“0” 解:B A C A Y +=

C B A BC A C B A C AB +++=

将式中存在的最小项对应的逻辑函数填为“1”,不存在的最小项对应的逻辑函数填为“0”,列表即可。仍可得表3-1的真值表。

(2)由真值表写逻辑函数表达式

其方法为:

①从真值表中找出逻辑函数值为1的所有输入变量取值组合

将逻辑函数值为1的每一组变量组合写成一个与式。输入变量组合中取值为1的写原变量,取值为的0写反变量。

②将这些变量的与组合相加,得标准与或逻辑函数表达式。

③化简逻辑函数表达式

若所得的函数表达式不是最简的函数表达式,则需对其进行化简。

【例3.2.2】根据真值表(见表3-2)写出它的逻辑函数表达式

表3-2 例3.2.2的真值表

解:写出函数值为1所对应的变量组合

A B、AB

将这些变量组合相加可得逻辑函数表达式

=

AB

Y+

A

B

2、逻辑函数表达式与逻辑图

(1)由逻辑函数表达式画逻辑图

将表达式中的运算符号用相应的逻辑符号代替并按照运算顺序把这些逻辑符号连接起来便可得到对应的逻辑图。

【例3.2.3】出AB

Y+

=对应的逻辑图

A

B

解:对应的逻辑图见图3-1

图3-1 【例3.2.3】的逻辑图

(2)由逻辑图写逻辑函数表达式

从逻辑图的输入端到输出端逐级写出每个逻辑符号对应的表达式,就可得到相应的逻辑函数表达式。

【例3.2.4】由图3-2写出其逻辑函数表达式

图3-2

解:根据逻辑图逐级写出输出端的逻辑函数表达式

Y=

A

1

Y=

Y

B

A

B

2

1

Y+

=

+

=

Y

C

B

A

C

2

3、逻辑表达式与波形图

(1)由逻辑表达式画波形图

①首先根据逻辑函数表达式列出函数的真值表

②再由真值表画波形图

其中表中的逻辑1代表高电平,表中的逻辑0代表低电平,画出相应的输出输入波形。

【例3.2.5】画出逻辑函数B A B A Y +=的波形图 解:(1)根据逻辑函数式列真值表见表3-3 表3-3 例3.2.5的真值表

由真值表画波形图,见图3-3

图3-3 (2)由波形图写出逻辑函数表达式 ①根据波形图列真值表

波形图中重复出现的变量组合只写一次,未出现的变量组合按无关项处理。 ②再根据真值表写出标准的与或逻辑函数表达式 ③若不是最简的逻辑函数表达式,则需对其进行化简

【例3.2.6】数字电路的输入信号A 、B 、C 与输出信号Y 的波形图如图3-4所示

图3-4

写出逻辑函数Y的表达式

解:根据波形图列真值表,见表3-4所示

表3-4

根据真值表写出标准的与或逻辑函数表达式,并化简为

A

C

Y+

=

A

+

+

+

B

C

AB

C

B

B

A

C

A

B

C

=

B

A+

C

3.3组合逻辑电路的分析方法和设计方法

3.3.1组合逻辑电路的分析方法

分析组合逻辑电路的目的是为了确定电路的逻辑功能。分析步骤如下:

(1)根据给定的逻辑电路写出逻辑函数表达式

(2)化简和变换逻辑函数表达式

(3)列出真值表(此步骤根据需要而定)

从化简和变换后的逻辑函数表达式中,若不能立刻看出这个电路的逻辑功能,则需列出与之

对应的真值表。

(4)根据化简、变换后的逻辑函数表达式或真值表,确定组合逻辑电路的逻辑功能。

对于典型的组合逻辑电路可直接说出其功能,对于非典型的组合逻辑电路,应根据真值表中逻辑变量和逻辑函数的取值规律来说明,即指出输入为哪些状态时,输出为1或0。

【例3.3.1】分析图3-5电路的逻辑功能

图3-5

解:(1)写出逻辑函数表达式

A

Y=

B

AB

(2)化简函逻辑函数表达式

A

Y=,

B

AB

=

A+

AB

B

(3)分析逻辑功能

从逻辑函数表达式中可以看出,该电路具有“同或”功能。

【例3.3.2】分析如图3-6所示组合逻辑电路的功能

图3-6

解:(1)写出逻辑函数表达式

BCD ACD ABD ABC Y ···

从上面的逻辑函数表达式中,不能立刻看出电路的逻辑功能,因此需要列出真值表。 (2)列真值表

由函数表达式列真值表,见表3-5所示

表3-5

(3)分析逻辑功能

从真值表中可以看出,该电路为四变量多数表决器,当输入变量A 、B 、C 、D 有三个或三个以上为1时,输出为1否则输出为0。

3.3.2组合逻辑电路的设计

组合逻辑电路的设计就是根据给定的实际逻辑问题求出实现这一逻辑功能的最简逻辑电路。所谓“最简”,就是指电路所用的器件数最少,器件种类最少,器件间的连线也最少。

组合逻辑电路的设计步骤如下:

1、进行逻辑抽象

将给定的实际逻辑问题通过抽象用一个逻辑函数表达式来描述。其具体方法为:

(1)分析事件的因果关系,确定输入变量和输出变量,并对输入、输出变量进行逻辑赋值。通常把引起事件的原因做为输入变量,而把事件的结果作出输出变量,并用逻辑0、逻辑1分别代表输入变量和输出变量的两种不同状态。这里的逻辑0、逻辑1的具体含义是人为规定的。

(2)根据给定的实际逻辑问题中的因果关系列出真值表。

(3)根据真值表写出逻辑函数表达式。

至此便将一个实际的逻辑问题抽象成一个逻辑函数表达式。

2、选择器件种类。

根据对电路的具体要求和器件资源情况决定采用哪一种类型的器件。

3、将逻辑函数表达式进行化简或进行适当的形式变换。

对逻辑函数进行化简得到最简的函数表达式,若对所用器件的种类有所限制,还需将最简逻辑函数表达式变换与器件种类相适应的形式。

4、根据化简或变换后的逻辑函数表达式画出逻辑图。

原理性设计到此完成,之后进行工艺设计。这部分内容在此不作介绍,请读者自行查阅有关资料。

【例3.3.3】设计一个三人表决电路。要求当三个人中有两个或三个表示同意,则表决通过,否则不通过。用与非门实现。

解:1、进行逻辑抽象

(1)确定输入变量、输出变量,并赋值。

分析命题,设三个人为输入变量,分别用A、B、C表示,且为1时表示同意,为0时表示不同意。表决的结果为输出变量,用Y表示,且为1时表示通过,为0时表示不通过。(2)根据命题列真值表,见表3-6所示

表3-6

(3)根据真值表写出逻辑函数表达式

ABC C AB C

B A B

C A Y +++=

2、选定逻辑器件 用与非门集成器件

3、化简、变换逻辑函数。

ABC C AB C B A BC A Y +++=

AC BC AB ++= AC BC AB ++=

AC BC AB ··=

4、根据逻辑函数式画出逻辑图,见图3-7所示

图3-7

3.4集成组合逻辑电路

在实践中人们发现一些组合逻辑电路经常、大量地出现在各种数字系统中。如编码器、译码器、数码显示器、数据选择器、数值比较器、加法器等等。为了方便使用已把这些常用的组合逻辑电路制成了标准化的集成电路产品。下面将分别介绍这些集成器件的逻辑功能、符号、外引线排列、使用方法及应用举例。

3.4.1编码器

所谓编码器就是将具有特定含义的信息(如数字、文字、符号等)用二进制代码来表示的过程。能实现编码功能的电路称为编码器,编码器的输入为被编信号,输出为二进制代码。 按编码方式不同,编码器可分为普通编码器和优先编码器。按输出代码的种类不同又可分为 二进制编码器和二—十进制编码器等。 一、二进制普通编码器

用n 位二制制代码对n 2个信息进行编码的电路称为二进制编码器。图3-8所示的电路为由与非门及非门组成的三位二进制普通编码的逻辑图。它有7个编码输入端1I ~7I ,有3个进制代码输出端0Y ~2Y 。

图3-8三位二进制普通编码器

由图3-8可写出编码器各输出端的逻辑函数表达式为 7

53107632176542I I I I Y I I I I Y I I I I Y +++=+++=+++=

由上述逻辑函数表达式可列出该编码器的功能表,见表3-7所示

表3-7 三位二进制普通编码器功能表

下面根据三位二进制普通编码器的功能表对其逻辑功能说明如下: (1)1I ~7I 为七个输入端。输入高电平有效。

高电平有效即为输入信号为高电平时表示有编码请求;输入信号为低电平时,表示无编码请求。当1I ~7I 全为低电平,即1I ~7I 无编码请求时,输出2Y ~0Y 全为低电平,此时相当于对

0I 进行编码。所以该编码器能为8个输入信号编码。

(2)2Y ~0Y 为三个二进制代码输出端。输出高电平有效。

三个二进制代码从高位到低位的顺序为2Y 、1Y 、0Y ,输出为二进制码原码 (3)任何时刻只允许对1个输入信号编码

此编码器任何时刻都不允许有两个或两个以上输入信号同时请求编码,否则输出将发生混乱。因此这种编码器的输入信号是相互排斥的。 二、优先编码器

优先编码器克服了普通编码器输入信号相互排斥的问题,它允许同时输入两个或两个以上编码信号。由于在设计优先编码器时已经预先对所有编码信号按优先顺序进行了排队,排除了优先级别,所以当输入端有多个编码请求时,编码器只对其中优先级别最高的输入信号进行编码,而不考虑其它优先级别比较低的输入信号。常用的优先编码集成器件有74LS148、74LS147等。

1、二进制优先编码器74LS148

图3-9 给出了三位二进制优先编码器74LS148的符号图、外引线排列图。由于它有8个编码信号输入端7

I ~0

I ,3个二进制代码输出端2

Y ~0

Y ,为此又把它叫做8线—3线优先编码

器。

(a)国际符号图 (b)国内符号图 (c)外引线图 图3-9 优先编码器74LS148

74LS148的功能见表3-8所示

表3-8 74LS148的功能表

表中H 表示高电平,L 表示低电平,X 表示高或低电平。 下面根据74LS148的功能表对其逻辑功能说明如下 (1)7

I ~0

I 为8个编码输入端,低电平有效。

7I 优先级别最高,依次降低,0I 优先级别最低。

例如:在编码器工作时,若7

I 6

I 5

I 4

I 3I 2I 1I 0I =LHLLHLHL 即7I 、5I 、4I 、2I 、0

I 有

编码请求,6

I 、3

I 、1

I 无编码请求时,编码器只对7

I 的输入信号进行编码。对应的输出代

码为2

Y 1

Y 0

Y =LLL 。

(2)2

Y ~0

Y 为3个二进制代码输出端,低电平有效。

三位二进制代码从高位到低位的排列为2

Y 、1

Y 、0

Y ,且输出代码为二进制码的反码

(3)S 为选通输入端,低电平有效。

当S =H 时,禁止编码器工作。此时,不管编码输入端有无编码请求,输出2

Y 1

Y 0

Y =HHH ,

此时s

Y =H ,EX

Y

=H ;

当S =L 时,允许编码器工作。当所有的编码输入端无编码请求时,输出2

Y 1

Y 0

Y =HHH ,此

时s

Y =L ,EX

Y

=H 。当编码输入端有编码请求时,编码器按优先级别为优先权高的输入信号

编码,输出2

Y 1

Y 0Y 为与被编的输入信号相对应的二进制代码,此时s Y =H ,EX

Y

=L 。

(4)s

Y 为选通输出端、EX

Y

为扩展输出端。其作用用于扩展编码功能。

74LS148的功能表中出现的三种2

Y 1

Y 0Y =HHH 情况,可以用s Y 和EX

Y

的不同状态加以区分。

2、二—十进制优先编码器74LS147

在常用的优先编码器中除了二进制编码器外还有一类叫二—十进制优先编码器。它能将

0I ~9I 10个输入信号分别编成10个BCD 代码。图3-10给出了二—十进制优先编码器

74LS147的符号图、外引线图。

(a)国际符号图 (b)国内符号图 (c)外引线图

图3-10 二–十进制编码器74LS147

逻辑功能表,见表3-9所示

表3-9 74LS147的功能表

下面根据74LS147的功能表对其逻辑功能说明如下: (1)1

I ~9

I 为9个编码输入端,低电平有效。

优先级别最高的是9

I ,依次降低。1

I 优先权最低。当9I ~1

I 全为高电平即无编码请求时,

输出端3

Y ~0

Y 全为高电平,此时相当于对0

I 进行编码。

(2)3

Y ~0

Y 为4个BCD 码的输出端,低电平有效。四位二进制代码从高位到低位的顺序为

3Y 、2Y 、1Y 、0Y ,且输出为8421BCD 的反码。

74LS147没有使能端,不利于扩展功能。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

组合逻辑电路教案

第8章组合逻辑电路 【课题】 8.1概述 【教学目的】 了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点。 【教学重点】 1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类。 3.会区分数字逻辑电路的类型。 【教学难点】 区分数字逻辑电路的类型。 【教学方法】 讲授法 【参考教学课时】 1课时 【教学过程】 一、复习提问 1.基本逻辑门电路有哪几种,它们的逻辑功能是什么? 2.画出与非门逻辑符号并说明其逻辑功能。 二、新授内容 1.组合逻辑电路 (1)特点:数字逻辑电路中输出信号没有反馈到输入端,因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关。 (2)电路组成框图:教材图8.1。 2.时序逻辑电路 (1)特点:数字逻辑电路中输出信号部分反馈到输入端,输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关。因此,这种电路有记忆功能。 (2)电路组成框图:教材图8.2。 三、课堂小结 1.组合逻辑电路的特点。

2.时序逻辑电路的特点。 四、课堂思考 P176思考与练习题。 五、课后练习 对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电路的分析)。 【课题】 8.2组合逻辑电路的分析 【教学目的】 掌握组合逻辑电路的分析方法和步骤。 【教学重点】 1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合逻辑电路进行功能分析。 【教学难点】 对给定的组合逻辑电路作功能说明,并用文字描述。 【教学方法】 讲授法、练习法 【参考教学课时】 1课时 【教学过程】 一、复习提问 公式化简,用练习的方式进行。 二、新授内容 1.组合逻辑电路的分析步骤。 (1)根据给定的逻辑电路图,推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明 2.组合逻辑电路的分析举例 (1)老师举例讲解 (2)老师举例,学生讨论分析 例1 已知逻辑电路如图8.1所示,试分析其逻辑功能,要求写出分析过程。

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

18 组合逻辑电路特点及结构

武汉市仪表电子学校电工电子教案 第六章教案 授课班级课程名称 电子技术基础与技 能 教学内容组合逻辑电路的基本知识 课堂类型 学时 学时授课时 间 教学目的 1、组合逻辑电路的特点 2、组合逻辑电路的分析步骤 教学重、难 点 教学重、难点:组合逻辑电路的分析步骤 教学内容及步骤备注 6.1 组合逻辑电路的基本知识 把逻辑门电路按一定的规律加以组合,就可以构成具有各种 功能的逻辑电路,称之为组合逻辑电路。 6.1.1 组合逻辑电路的特点 【组合逻辑电路的特点】在组合逻辑电路中任意时刻的输 出只取决于该时刻的输入,与电路原来的状态无关。电路无记忆 功能。生活中组合逻辑电路的实例有电子密码锁,银行取款机等。 【组合逻辑电路的结构】组合逻辑电路主要由逻辑门电路 构成,并且输出与输入之间没有反馈连接。其组成框图如图6-2 所示。 图6-2 组合逻辑电路的组成框图 6.1.2 组合逻辑电路的分析

【组合逻辑电路的分析步骤】根据已知的组合逻辑电路(逻辑图),运用逻辑电路运算规律,确定其逻辑功能的过程,称为组合逻辑电路的分析。其分析步骤为: (1) 由逻辑图写表达式:根据给定的逻辑电路图,从输入到输出逐级推出输出表达式。 (2)化简表达式。 (3)由化简后的表达式列出真值表。 (4)描述逻辑功:用文字概括出电路的逻辑功能。 上述组合逻辑电路识图分析的过程可表述为: 逻辑图逻辑表达式化简真值表电路的逻辑功能 案例解析 【例6-1】试分析图6-3所示电路的逻辑功能。 图6-3 逻辑电路图 【解析】(1)由逻辑图写输出F的逻辑表达式为: 3 2 1 3 2 1 Y Y Y Y Y BC Y AC Y AB ? ? = = = = (2) 化简 = AB+AC+BC (3)列出真值表,如表6-1所示。 表6-1 真值表 BC AC AB? ? = BC AC AB Y? ? =

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1) 分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。2、写出实验电路的设计过程,并画出设计电路图。 (1) 半加器的设计如果不考虑有来自低位的进位将两个1 位二进制数相加。 A、B是两个加数,S是相加的和,CC是向高位的进位。 逻辑表达式 S=AB+AB=A? B CC=AB (2) 设计一个四位奇偶位判断电路。当四位数中有奇数个1 时输出结果为1;否则为0。 A, B, C, D分别为校验器的四个输入端,丫时校验器的输出端

逻辑表达式 Y=ABC'D'+A'BCD'+A'B'CD 'A'B'C'D+ABCD+A 'D+AB '+ABCD =(A ? B) ? (C ? D) 四?实验结果 1、列出所设计电路的MULTISM 仿真分析结果。 (1)半加器的设计,1-A 被加数,2-B 加数,XMMI (和数S )XMM (进位数CO A B S CO 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 A B c D 输出Y 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 ;: r P1A… : ; — Vi.c 一隔 .... 74LSM0 (2)设计一个四位奇偶位判断电路 VCC 二 UJU. iEX - 74 L SOOD

04第四章 组合逻辑电路.

教案

第四章 组合逻辑电路 ▲4.1 概述 1.逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态,而与前 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 4.2组合逻辑电路的分析和设计方法 一、 分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。 分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)。 (3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。 解 (1)AC BC AB Y ??= (2)化简:Y=AB+BC+AC & A B B C A C Y && &组合逻辑电路 … …X 1X 2 X n Y 1Y 2 Y m 输入信号 输出信号 .. . )X X X (f Y ) X X X (f Y )X X X (f Y n 21n n n 2122n 2111???=???=???=、、、、、、

(3)列真值表: (4)由真值表知: 若输入两个或者两个以上的1,输出Y 为1。 功能:在实际应用中可作为多数表决电路使用。 练习:分析如图所示组合逻辑电路的功能。 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数, 并对 它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。 例2、有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI 门电路实现。要求如下: (1)一个班学生上自习, 开小教室的灯。 (2)两个班上自习, 开大教室的灯。 (3)三个班上自习, 两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、B、C分别表示三个班学生是否上自习, 1表示上自习, 0表示不上自习; 输出变量Y、 F 分别表示大教室、小教室的灯是否亮, 1表示亮, 0表示灭。 (2)列真值表: A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & A B Y 1 Y 2 Y 3 Y

组合逻辑电路基本概念复习考试题

组合逻辑电路基本概念复习题 填空 1.消除或减弱组合电路中的竞争冒险,常用的方法是发现并消掉互补变量,增加__________,并在输出端并联 。冗余项、电容器 2.要扩展得到1个16-4线编码器,需要 片74LS148。2 3.在组合逻辑电路中,当一个输入信号经过多条路径传递后到达某一逻辑门的输入端时,会有时间先后,这一现象称为_________,由此而产生输出干扰脉冲的现象称为 。 竞争、冒险 4.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各 的组合,而与电路的 无关。输入状态、原来的状态 5.组合逻辑电路由逻辑门电路组成,不包含任何 ,没有 能力。 记忆元件、记忆 6.常见的中规模组合逻辑器件有 和 等。 编码器、译码器、数据选择器、数值比较器、加法器任选二个。 7.加法器是一种最基本的算术运算电路,其中的半加器是只考虑本位两个二进制数进行相加不考虑 的加法器。低位向本位的进位 8.全半加器既要考虑本位两个二进制数进行相加,还要考虑 的加法器。 低位向本位的进位 9.用全加器组成多位二进制数加法器时,加法器的进位方式通常有、 、 2种。 串行进位、并行进位 10.基本译码器电路除了完成译码功能外,还能实现 和 功能。 逻辑函数发生、多路分配 11.多路分配器可以直接用 来实现。译码器 12.与4位串行进位加法器比较,使用超前进位全加器的目的是 。 提高运算速度 13.在分析门电路组成的组合逻辑电路时,一般需要先根据 写出逻辑表达式。 逻辑电路图 14.数据选择器的功能相当于多个输入的数据数据开关,是指经过选择,把 通道的数据传送到 的公共数据通道上去。多个、唯一 15.数据分配器的功能相当于一个多输出的数据开关,是将 数据源来的数据根据需要,送到 不同的通道上去。经过选择,把通道的数据传送到的公共数据通道上去。 一个、多个 16.加法器的超前进位级联方式,高位的运算不必等低位运算的结果,故提高了 ,但结构比较 。运算速度、复杂 17.加法器串行进位的级联方式由于结构 ,主要用在 数字设备中。 简单、低速 选择 1.比较两个一位二进制数A 和B ,当B A >时输出1=F ,则F 的表达式是(C )。 A 、A B F = B 、B A F = C 、A F = D 、A F = 2.设计加法器的超前进位是为了(B )。 A . 电路简单 B .每一级运算不需等待进位 C . 连接方便 D .使进位运算由低位到高位逐位进行

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲

12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321 E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++= 17.集成4位数值比较器74LS85级联输入I A <B 、I A=B 、I A >B 分别接001,当输入二个相等的4位数据时,输出F A <B 、F A=B 、F A >B 分别为 。 A .010 B .001 C .100 D .011 18.实现两个四位二进制数相乘的组合电路,应有 个输出函数。 A . 8 B .9 C .10 D .11 19.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要 个异或门。 A .2 B .3 C .4 D .5 20.在图中,能实现函数C B B A F +=的电路为 。 (a ) (b ) (c ) 图 A .电路 (a ) B .电路(b ) C .电路(c ) D .都不是 习 题 1.分析图所示组合逻辑电路的功能,要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。 图 解: CO =AB +BC +AC AC BC AB C B A ABC CO C B A ABC S +++++=+++=)()(

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

常见组合逻辑电路

12- 1 第十章第一节 常见的组合逻辑电路 共4页 《脉冲与数字电路》课程教案 第一节 常见的组合逻辑电路 掌握简单组合逻辑门电路符号和输入/输出关系; 理解加法器、比较器、编码器和译码 器的输入/输出关系。 与非、或非、与或非及异或门电路符号及对应的逻辑函数关系 其它组合逻辑电路的分析 方法 课 型:讲解 教学方法:用图示法表现组合逻辑门电路同基本逻辑门电路之间的联系;用真值表说明 组合逻辑电路 的功能。 教 具:组合逻辑电路教学挂图 时间分配:导入5分,组合逻辑门电路 30分,其它组合逻辑电路 50分(其中,加法器 10分,比较器15分,编码器10分,译码器15分),小结与作业布置 5分。 教学进程: V 导入〉复习: (提问)1、什么是门电路?常用的基本逻辑门电路有哪几种? 2 、什么是正逻辑和负逻辑? (引言)用门电路可以组成各种复杂的逻辑电路来模拟不同的逻辑函数关系,这些逻辑电 路分成两大类:组合逻辑电路和时序逻辑电路。 概述:什么是组合逻辑电路? 电路的输出只与该时刻的输入信号有关,而与电路原来的状态无关; 组合逻辑电路由逻辑门电路组成,且不含任何形式的信号回授(即反馈) 基本逻辑门电路就是最基本的组合逻辑电路。 第一节 常见的组合逻辑电路 一、简单组合逻辑门电路 概述:有与非门、或非门、与或非门和异或门等。 1. 与非门电路 电路符号: 逻辑函数:F = AB 真值表:(略) 2. 或非门电路 序号:12 教学内容: 第十章组合逻辑电路 V 正课> 第十章组合逻辑电路 目的与要求: 重点与难点: 1 F A B

电路符号: 逻辑函数:F= A + B 真值表:(略) 3.与或非门电路A B 电路符号: 逻辑函数:F= AB + CD 4.异或门电路 电路符号: A 逻辑函数:F= AB + AB = A ? B (推导逻辑关系)真值表:(略,强调其异或的含义) 二、其它组合逻辑电路 1?加法器 加法器的基础是一位加法器,一位加法器有半加和全加两种。 (1)半加器只实现本位相加(不计算低位向本位的进位,高位进位) 由真值表可知,异或门就能完成半加器功能。 (2)全加器实现本位和低位进位三者相加,并向高位进位(即有 三个输入端,两个输出端) 全加器真值表: 全加器本位和Si和进位G的逻辑表达式: S i = C i-1 ①(A j ① B j) C i = A i B i + C i-1(A i ? B i) 电路实现:S i由两个异或门组成,C i由一个异或门、一个与或非门和一个非门组成。(学生练习)半加器真值表 也不向0 1 1 0 1 1 1 1 全加器的逻辑符号: C i-1 Ai - B i- FA C i C i-1 A i B i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器真值表 2.比较器 (1)一位同比较器只判断两个一位二进制数是否相等的逻辑电路, 它是多位比较器的

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 1Y =((I 0′I 1′I 2I 3′)′(I 0′I 1′I 2′I 3)′) ′ 0Y =((I 0′I 1I 2′I 3′)′( I 0′I 1′I 2′I 3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 0I =1 1Y 0Y =0 0 1I =1 1Y 0Y =0 1 2I =1 1Y 0Y =1 0 3I =1 1Y 0Y =1 1 2、设计2线-4线译码器并测试其逻辑功能。

第4章-组合逻辑电路 (1)复习进程

4组合逻辑电路 4.1 组合逻辑电路的分析 4.1.1 写出如图题4.1.1所示电路对应的真值表。 解:(1)根据图题4.1.1(a)所示的逻辑图,写出其逻辑表达式,并进行化简和变换得 L AB A B BC C AB A B BC C AB AB B C B A C =+++? =++++ =+++ =++ 根据上述逻辑表达式列出真值表如表题解4.1.1(a)所示。 (2)根据图题4.1.1(b)所示的逻辑图,写出逻辑表达式,并进行化简和变换得2 () L ABC ABC A BC BC =+=+ 12 ()()0 L L ABC A BC BC ABC A BC BC ABC =+=++=+?==1 根据上述逻辑表达式列出真值表,如表题解4.1.1(b)所示。

4.1.2组合逻辑电路及输入波形(A、B)如图题4.1.2所示,试写出输出端的逻辑表达式 并画出输出波形。 解:由逻辑电路写出逻辑表达式 =+= L AB AB A B 首先将输入波形分段,然后逐段画出输出波形。当A、B信号相同时,输出为1,不同时,输出为0,得到输出波形,如图题解4.1.2所示。 4.1.4 试分析图题4.1.4所示逻辑电路的功能。 解:组合逻辑电路的分析步骤是,首先由逻辑电路写出逻辑表达式,然后根据逻辑表达式列出真值表,再由真值表判断逻辑功能。由逻辑电路写出逻辑表达式 =⊕⊕⊕ ()() L A C D

列出真值表,如表题解4.1.4所示。 由真值表可知,输入奇数个1(或0),输出L=1,输入偶数 个1(或0),输出L=0.该电路为奇校电路。 4.1.5 逻辑电路如图题4.1.5所示,试分析其逻辑功能。 解:根据组合逻辑电路的分析步骤 (1) 由逻辑电路写出输出与输入的逻辑表达式 1L A B AB =+= 2()()L A B A B A B A B AB AB =+++=+?+=+ 3L A B AB =+= (2) 列出真值表,如表题解4.1.5所示。

组合逻辑电路

第三章组合逻辑电路 基本知识点 *组合逻辑电路的特点 *组合逻辑电路功能的表示方法及相互转换 *组合逻辑电路的分析方法和设计方法 *常用集成组合逻辑电路的逻辑功能、使用方法和应用举例 *组合逻辑电路中的竞争–冒险现象及消除竞争–冒险现象的常用方法 3.1概述 在数字电路中根据逻辑功能的不同特点,可将其分为两大类:一类是组合逻辑电路,另一类是时序逻辑电路。 组合逻辑电路在逻辑功能上的共同特点是:任意时刻的输出状态仅取决于该时刻的输入状态,与电路原来的状态无关。在电路结构上的特点是:它是由各种门电路组成的,而且只有从输入到输出的通路,没有从输出到输入的反馈回路。由于组合逻辑电路的输出状态与电路的原来状态无关,所以组合逻辑电路是一种无记忆功能的电路。由此可知第二章中介绍的各种门电路都属于组合逻辑电路。 描述一个组合逻辑电路逻辑功能的方法很多,通常有:逻辑函数表达式、真值表、逻辑图、卡诺图、波形图五种。它们各有特点,又相互联系,还可以相互转换。 3. 2逻辑功能各种表示方法的特点及其相互转换 一、逻辑功能各种表示方法的特点 1、逻辑函数表达式 逻辑表达式是用与、或、非等基本运算来表示输入变量和输出函数因果关系的逻辑代数式。其特点是形式简单、书写方便,便于进行运算和转换。但表达式形式不唯一。 2、真值表 真值表是根据给定的逻辑问题,把输入变量的各种取值的组合和对应的输出函数值排列成表格。其特点是:直观、明了,可直接看出输入变量与输出函数各种取值之间的一一对应关系。真值表具有唯一性。 3、逻辑图 逻辑图是用若干基本逻辑符号连接成的电路图。其特点是:与实际使用的器件有着对应关系,比较接近于实际的电路,但它只反映电路的逻辑功能而不反映电气参数和性能。同一种逻辑

第4章 组合逻辑电路 课后答案..

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP B AB AAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

组合逻辑电路组合逻辑电路

第四章 组合逻辑电路
本章目录 ?4.1 ?4.2 ?4.3 ?4.4 ?4.5 ?4.6 ?4.7 ?4.8 ?4.9
2017-8-4
概述 组合逻辑电路的分析方法 组合逻辑电路的基本设计方法 若干常用的组合逻辑电路模块 层次化和模块化的设计方法 可编程逻辑器件 硬件描述语言 用可编程通用模块设计组合逻辑电路 组合逻辑电路中的竞争-冒险
第四章 组合逻辑电路 1
§4.1 概述
数字逻辑电路分类:组合逻辑电路和时序逻辑电路。 一、组合逻辑电路的特点 组合逻辑电路逻辑功能特点:任意时刻的输出仅取决于该 时刻的输入,与电路原来的状态无关。 组合逻辑电路电路结构特点:不能包含有存储单元。 二、逻辑功能的描述
y1 = f1 (a1 , a2 ,L , an ) y2 = f 2 (a1 , a2 ,L , an ) M
组合逻辑电路的框图
2017-8-4 第四章 组合逻辑电路
ym = f m (a1 , a2 , L , an )
Y = F ( A)
2

§4.2 组合逻辑电路的分析方法
组合逻辑电路分析:给定某逻辑电路,分析其逻辑功能。
分析的步骤: (1)由所给电路写出输出端的逻辑式; (2)将所得的逻辑式进行化简; (3)由化简后的逻辑式写出输出输入的真值表; (4)由真值表分析电路的逻辑功能。 例:试分析图示电路的逻辑功能。 ABC
Y1 Y2
2017-8-4 第四章 组合逻辑电路 3
§4.3 组合逻辑电路的基本设计方法
组合逻辑电路设计:给定某逻辑问题,求出实现这一逻辑功能 的最简电路。
一、逻辑抽象 ? 分析因果关系,确定输入/输出变量 ? 定义逻辑状态的含意(逻辑状态赋值) ? 列出真值表 二、写出函数式 三、选定器件类型 四、将逻辑函数化简或转换成适当的形式 五、画出逻辑电路图 六、设计验证 七、工艺设计
2017-8-4 第四章 组合逻辑电路 4

第3章组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

相关主题
文本预览
相关文档 最新文档