当前位置:文档之家› 基于单片机的出租车计价系统的设计

基于单片机的出租车计价系统的设计

基于单片机的出租车计价系统的设计
基于单片机的出租车计价系统的设计

基于单片机的出租车计价系统的设计

随着经济的高速发展,交通系统的完善出租车计价器的应用越来越广泛。计价器计价准确与否,直接关系到司机和乘客的经济利益。使用单片机实现出租车计价系统,是对单片机应用的深入学习。本系统将会提高我们在C语言编写程序的能力有所提高,这里主要是以AT89C52单片机为核心控制器,单片机有着丰富的I/O端。

本文基于STC89C52单片机为系统的控制中心,利用传感器测量路程,设计了出租车计价器,具有自动计程、计价显示时间、调节起步价等功能。对其进行了硬件设计,硬件设计包括复位电路,复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。晶振电路、时钟电路,时钟电路作为系统的时钟源,具有较高的准确性。按键电路,通过按键可以调整起步价,里程数。通过按键模拟出租车的运行,暂停,停止。显示电路,上电时LCD1602液晶显示屏显示最初的起步价,里程收费,当前时间。然后采用C语言进行了软件设计,再通过软件设计接口芯片和参数的初始化按要求写入程序。通过软硬件结合进行仿真,仿真结果表明此设计可以实现路程计价功能和价格调节、时钟显示功能。

关键词

出租车计价器;89C52单片机;液晶显示器;硬件设计;软件设计;仿真

0 引言

随着科技和时代的进步,出租车在城市交通中发挥的作用越来越重要,可以说出租车行业的发展速度是迅速的,无论是从维护乘客以及司机的利益来说,还是从规范出租车行业的制度上来说,性能优异的出租车计价器对整个出租车行业的良性循环发展至关重要。出租车行业是从1980年开始兴起的,属于新兴行业,重庆市起重机厂是中国第一家生产计价器企业。在国内,最早的计价器最开始使用的是机械齿轮结构,但其实早期的计价器就是一个里程表,它仅仅只有计算里程功能。

在国内,机械齿轮结构是最早的计价器使用的结构,但其实早期的计价器其实就是一个里程表,它仅有计程功能。仅仅能显示出租车行驶了的路程,不能准确的显示出更多的其他信息。与此同时随着科技的发展,第二代计价器也随之诞生。它为了实现半机械半电子化,通过将机械结构与手摇计算机两种方式相结合,它可以在实现计程的同时还可以计价。在社会的发展大规模集成电路的推动下产生了全电子化的计价器,也就是第三代计价器。通过以单片机为核心进行设计,将较少的硬件与软件编码结合不仅能到计价功能,同时还可以实现更多性能。相对来说功能更强大。它完全能够将出租车计程,计价,显示等基本功能一体化。

以单片机芯片为核心的出租车计价器,灵活性高,用较少的硬件和灵活性的软件编程互相配合就能容易的完成设计要求,相对来说功能较强大,能够通过软件编程来完成更多性能。本设计以AT89C52单片机为核心,通过按键实现计·程模拟,完成了对出租车的多功能的设计,用LCD1602液晶显示屏来显示时间和金额数,不仅能达到基本的计价的目的,而且还可以灵活设置起步价来应对不同城市的不同需求;此设计为1公里内为设定的起步价,若实际驾驶里程超出1公里,超出1公里的部分进行累加。

1 系统总体方案设计

1.1 本课题研究的内容

该课题要求实现对出租车行驶里程的计费功能,并实时显示出来,方便乘客及时了解里程和费用的相关信息。

主要实现的功能

(1)无乘客时,计价器实时显示时间;

(2)要求计价器实时能够显示里程数以及金额数;

(3)起步公里数为1,可以根据不同城市的需求,灵活设置起步价;若实际里程数超出1公里,则超出1公里的部分进行累计;

(4)设置清零键,按下时计价器可以清除计价。

1.2 方案选择

方案一:采纳数字电子技术,根据555定时芯片组成多谐振荡器, 或者通过外围的晶振电路将其作为时钟脉冲信号,之后时钟信号输出转化为等待信号、公里脉冲、计费脉冲等待信号,这些信号输出到计时计费译码器进行译码,最后的得到的数据通过数码管显示出来,该方案的流程框图如图1所示。

图1 方案一总体结构框图

方案二:通过EDA 技术,将设计理论层次化,将需要解决的问题自上而下的分成几个模块,其中包含分频模块,信号输入模块、控制计时计程模块、译码器模块和动态扫描显示模块,它的系统设计框图如图2所示

图2 方案二结构框图 方案三:

次课程设计主要是以AT89C51 单片机为核心,该单片机有着丰富的I/O 端口,不仅实现基本的里程计价功能的基础上还可以进行价格调节、时钟显示等功能。

图3 方案三结构框图

方案总结:经过每个方案之间优缺点的对比,明显可以看出方案三设计更简单,设计成本更低,所以本设计最终选用方案三。

方案三设计具体内容:利用按键模拟行走键测距,实现对出租车计价功能完善,输出选取LGM12641图形点阵显示屏进行显示。本课程设计的出租车计价器不仅仅可以实现最基

本的计价功能,而且还可以根据行使的里程和每个城市的不同需求进行手动调节起步价。经过单片机键盘上的按键来达到计价器开始、结束、复位等功能的实现。基本步骤如下:首先,程序初始化工作,然后等待键盘信号输入。总共有三个按键控制:设置键、上下车/+ 键、-/模拟行走键。其功能如下:

设置键:在显示时间的状态下:按下此键进入设置界面;

在设置界面:默认设置起步价,再次按下设置单价,再次按下返回时间界面。

上下车/+ 键:在显示时间的状态下:按下此键表示客人上车,进入计价界面;

在计价界面:按下此键表示客人下车,返回时间界面;

在设置界面:按下此键设置起步价和单价的加操作。

模拟行走键/-:在设置界面:按下此键设置起步价和单价的减操作;

时钟电路主要特点是采用串行数据传输,可为掉电保护电源提供可编程的充电功能。

里程计算是通过安装在车轮上的霍尔传感器检测到的信号,送到单片机经处理计算,送给显示单元,车轮每转一圈,霍尔开关就检测并输出信号,引起单片机的中断,对脉冲计数,单片机就控制将金额自动增加,其计算公式为:

当前单价×公里数=金额

1.3 基于单片机出租车计价器的设计

基于单片机系统的总体设计

用按键模拟行走键测距,实现对出租车计价功能完善,输出选取LCD1602图形点阵显示屏进行显示。本课程设计的出租车计价器不仅仅可以实现最基本的计价功能,而且还可以根据行使的里程和每个城市的不同需求进行手动调节起步价。经过单片机键盘上的按键来达到计价器开始、结束、复位等功能的实现。基本步骤如下:首先,程序初始化工作,然后等待键盘信号输入。整体系统包括按键模块、时钟显示模块、复位模块、C52单片

机、液晶显示部分和霍尔传感器。

单片机的选型

本设计选用的单片机是AT89C52,是一种带4K字节闪烁可编程可擦除只读存储器,价格低廉而且灵活性高。有着丰富的I/O端口,不仅实现基本的里程计价功能的基础上还可以进行价格调节、时钟显示等功能。

时钟芯片的选型

本设计选用DS1302片作为一个实时的时钟芯片,不仅仅提供年、月、日、小时、分、秒、等信息,而且还拥有像软件一样,可以自动调整的功能,它可以经过系统内部调整AM/PM 的参数来决定时间格式(24小时或12小时)。它一共有三十一格字节用来数据存储RAM。就IO口的使用节省度来说,串行I/O通信方式比并行I/O通信方式节省。DS1302的工作电压只要达到2.0V~5.5V就都可以正常工作,相对来说比较宽。 DS1302这种类型的时钟芯片通常功耗都比较低,当它处于工作状态的时候,电压一般达到 2.0V,正常工作电流一般小于300nA。DS1302芯片总共引脚数为8个,其引脚封装图如图4所示:

图4 DS1302引脚封装图

Vcc1:备用电源引脚。

Vcc2:主电源引脚,正常情况下当Vcc2引脚电压低于Vcc1的时候,则通过Vcc1向其供电,当Vcc2引脚电压比Vcc1高出 0.2v以上的时候,该芯片2则通过Vcc2向其供电。

X1,X2:这两个引脚要连接一个晶振,其大小为32.768k,目的是向DS1302提供一个标准参数。需要重点关注的是,这个晶振的引脚不是要加6Pf的电容,而是其负载电容要是6Pf。若是这个晶振是有源晶振的话,那么需要接到X1引脚上,引脚X2处于悬空状态。

SCLK:此引脚为输入引脚,SCLK可以被当成通信过程中的时钟信号,同时还有一个40k 的下拉电阻在DS1302芯片这个引脚的内部。

I/O:在应用中这个引脚具有读写数据的功能,同时该引脚也具有双向通信的功能。同时还有一个40k的下拉电阻在DS1302芯片这个引脚的内部。

CE:这是一个输入引脚。当这个引脚处于高电平的状态,才能够读写DS1302,同时还有一个40k的下拉电阻在DS1302芯片这个引脚的内部。

GND:接地。

显示芯片的选型

LCD1602是一种工业字符型液晶,能够同时显示16×2即32个字符。原理是利用液晶的物理特性,通过电压对其显示区域进行控制,有电就有显示,这样即可显示出图型。具有8位数据总线D0-D7,和RS、R/W、EN三个控制端口,工作电压为5V,并且带有字符对比度调节和背光。具有控制简单、成本较低和显示字母和数字方便等优点。基于上述优点选择此芯片作为显示器。

主要技术参数和性能:

(1)显示容量:16×2个字符。

(2)芯片工作电压:4.5-5.5V。

(3)工作电流:2.0mA(5.0V)。

(4)模块最佳的工作电压:5.0V。

(5)字符尺寸:2.95mm×4.35mm(宽×高)。

2 系统的硬件设计

2.1 单片机最小系统设计

单片机AT89C51是一种性能较高的CMOS 8位的微处理器并且带有4K字节FLASH存储器的低电压,简称单片机。此单片机可为大多数嵌入式系统提供灵活性高且性价比高的方案。数据保留时间长。

AT89C52芯片引脚介绍

图5 AT89C52引脚图

复位电路设计

所有单片机在启动的时候都需要经过复位这一过程的,主要目的是将系统各个部件以及CPU恢复到比较确定的初始状态,从这个初始状态下开始进行工作。系统中各个软硬件都处于正常工作状态的情况下,振荡器也会处于稳定可靠的状态,如果要求CPU对系统进行复位并且做出响应,那么则需要在RST引脚上对它输入一个高电平同时还要求对它维持2个机器周期即24个振荡周期以上。一般来说单片机系统有两种复位形式,手动复位和上电复位,此设计选择的是手动和上电复位。其中复位电路图如6所示。复位电路与单片机的REST 引脚相连。

图6 复位电路

晶振电路设计

时钟电路用来作为产生单片机的基本时钟信号。不仅可以通过内部振荡器可以产生8051的时钟信号,外部电路也可以直接提供。精确频率可以由给出负载电容值附近的调整来得出。晶振取11.0592MHz可以得到19200波特率和9600波特率,因此选择12MHz可以精确到us级。方便定时操作,其晶振电路图如图7所示

图7 晶振电路

2.2 时钟电路设计

DS1302芯片作为一个实时的时钟芯片,不仅仅提供年、月、日、小时、分、秒、等信息,而且还拥有像软件一样,可以自动调整的功能,它可以经过系统内部调整AM/PM的参数来决定时间格式(24小时或12小时)。DS1302这种类型的时钟芯片通常功耗都比较低。

本设计选用DS1302时钟芯片,DS1302的时钟线与单片机P12相连接,复位线则与单片机的P10相连接,其中数据线与单片机的P11相连接。DS1302中X1引脚和X2引脚外接一个32.768KHZ晶体,主电源与DS1302的VCC2引脚相连,备用电源与DS1302的VCC1引脚相连接,当单片机没有电流通过时,时钟芯片仍然可以正常工作,其中单片机与时钟电路图如图8所示。

图8 单片机与时钟芯片连接图

2.3 按键电路设计

在键盘电路的设计中,其中每一个按键都需要使用一根I/O口线,每一个按键电路彼此之间都是相对独立。不受干扰,所使用的I/O(P30-P37)都有上拉电阻当按键按下时,该引脚端为低电平,当按键没有按下时,该引脚电平被拉高,其中I/O端口经过按键与地相连接,I/O口外部可以不用接上拉电阻,因为其内部自身携带了,总共有8个按键控制设置键、上下车/+ 键、-/模拟行走键。

图9 按键电路

K1:第一次按下开始计价,第二次按下停止计价,第三次按下计价数据清零,并返回时间显示页面。

K3:暂停出租车(直流电机)的转动。模拟出租车停车

K4:启动出租车(直流电机)的转动。模拟出租车启动

K5:时间日期设置键。

K6:里程单价和起步价的设置键。

K7:在设置状态下,是增大键;在不设置的状态下按下显示当天的总价。

K8:在设置状态下,是减小键;在显示当天总价的时候,按下使当天的总价清零。2.4 显示电路模块

1602液晶,是常用的显示器件,一共是16个管脚,其中有八个管脚是数据传输管脚,有三个管脚是数据命令使能端管脚,还有两组电源管脚,

其中一组电源管脚是给整个液晶进行供电的,还有一组电源是单纯的背景光电源,还剩下的最后一个管脚是对比度调节管脚,一般接上一个3K电阻再接地即可。

读状态:令RS=L,RW=L,E=H输出:D0~D7=状态字

读数据:令RS=H,RW=H,E=H输出:无

写指令:令RS=L,RW=L,D0~D7=指令码,E=高脉冲输出:D0~D7=数据

写数据:令RS=H,RW=L,D0~D7=数据,E=高脉冲输出:无

8位排阻选用103欧。

图10 单片机与显示电路连接图

3 软件设计

3.1 系统主程序

在主程序模块设计中,我们不单需要完成对各个接口芯片和参数的初始化、还需判断是否有乘客,以及出租车要根据不同城市生活水平而变化的起步价和单价的初始化等工作。同时,我们要加入修改起步价和单价的程序在主程序模块中。

当有乘客上车之后,汽车开始运行产生行驶距离时,就会开始计价程序,同时感应器判断汽车的行驶距离是否已经超出一公里,若超出1公里,超出部分根据该城市每公里所需要的单价乘以里程数,再加上该城市的起步价,得出最终的需要支付的总金额;如果没有超出,就直接支付起步价,最终的金额数都会显示在显示屏上,程序流程如图11所示。

图11 系统主程序流程图

3.2 单价及起步价流程图

在设置界面:在设置为起步价,再次按下为设置单价。按下上下车(即+键)即可设置起步价和单价的加操作;按下模拟行走键(即-键)即可设置起步价和单价的减操作;单价及起步价的计算流程图如图12所示:

图12单价设置流程图

4 仿真结果及分析

本实验主要使用Proteus软件进行仿真,Proteus软件和编译软件Keil联调的方式实现单片机系统的设计与仿真,用Proteus软件进行虚拟单片机实验,硬件投入少,实验过程中损耗小。具有完善的电路仿真功能,支持通用外设模型、实时仿真、显示时间、没有乘客上车时,显示时间、日期。在软件里写好各模块程序,在子程序调试,通过按键来改变起步价,显示屏显示总里程和总价格,结合仿真,显示实时数据。图13为无乘客时,进行仿真,仿真结果显示可以显示实时时间,证明了可以实现无乘客时,计价器实时显示时间功能。

图13显示时间

当有乘客上车时,进行仿真,仿真结果显示可以显示实时里程和总价,同时还可以根据不同城市价格标准设置不同的起步价,标准起步价为6元,然后通过调节将其提升到了8元。如图14、15所示。证明了可以实现有乘客时可以实现标准起步价和调节起步价的功能。

图14 设置起步a

图15设置起步b

图16为所示为显示里程和总价:进行仿真,仿真结果显示行使的总路程、时间和总价,证明了可以实现超过起步公里数时进行路程、金额累加功能。

图16显示里程和总价

5 结语

自从出租车计价器开始大规模在国内运行之后,其发展速度可谓是相当迅速,但是我国国内出租车使用的计价器大都相同,其操作运行繁琐不方便并且功能少,就目前而言世界上90%的出租车计价器都是中国台湾省生产的。直到2020年国内出租车计价器生产的企业也不过区区上百家,这些企业主要分布在江苏以及珠江三角经济发达区域。然而这些企业基本又都是一些中小型的企业,它们的研发技术水平创新能力都十分有限,与国际品牌厂家的竞争力不言而喻,但是这种计价器的需求基数又是庞大的,因为每一个商用出租车上都需要装有一个计价器,不然无法运作。同时,我国社会发展形势较好,很多乡镇生活水平也逐渐上

升,人们的出行方式也有了极大改善。所以说出租车计价器的市场行情及未来发展趋势是无法估量的。本课题设计的是基于单片机的出租车计价器系统的设计,通过各个模块之间的相互配合对路程的计数和对价格的计算进行研究设计开发和处理,并通过在keil软件进行后台程序的编写和使用proteus软件对硬件电路图进行仿真,并将仿真成功的程序烧录到焊接好的单片机面板子中去。该系统主要选用的控制器为A T89C51单片机、DS1302时钟芯片、LCD液晶显示器以及按键模块,通过软硬件配合共同控制,完成出租车计价计里程过程。

本课题设计的出租车计价器系统的设计和仿真已经全部完成了,可以基本完成实验要求,能够达到理想中的效果,能够根据各个城市的不同需求设置起步价和单价,并通过LCD显示屏实时显示当前时间和所需支付的乘车费用。除此之外,这种功能较多的出租车计价器电路设计相对十分简单、性能可靠、所需成本少在、实际生活中有很强的实用性等优势,后期再经过不断优化过的程序,未来可以达到一定的智能化水平。

通过本次毕业设计让我对单片机的功能和使用有了更深的认识,这是对我专业知识在实践中检验和提升。本次课程设计收获颇多,学会了查找相关资料,进行数据分析,同时也提高了自己对相关知识的深入了解和使用。让自己独立思考解决问题的能力不断得到提高。

同时,在本次设计中我存在不足的地方还有较多需要改善,本设计在路程计数上采用的是按键的加减,该做法在实用性方面欠佳。如果采用霍尔测距会使设计更加完善。在编写程序时,一直显示有误,经过多次改写仿真,最后终于完成。都说实践是检验真理的唯一标准,只有在实际操作中不断的去发现问题,面对问题,才能够解决问题,在以后的学习生活中,我将会关注一些新技术新产品,并且不断学习,挑战自我。

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

出租车计费系统的设计好

1.所选题目:出租车计费器 2.设计要求:设计一个出租车计费器,能按路程计费,具体要求如下: (1)实现计费功能,计费标准为:按行驶里程计费,起步价为7.00元,并在汽车行驶2km 后按1.2元/km 计费,当里程数达到15km 后,没千米加收50%的空驶费,车辆停止和暂停时不计费。 (2)现场模拟汽车的启动、停止、暂停和换挡等状态。 (3)设计数码管显示电路,将车费和路程显示出来,各有1位小数。 3.设计原理:设计该出租车有启动键、停止键、暂停键和档位键。启动键为脉冲触发信号,当其为一个脉冲时,表示汽车已启动,并根据车速的选择和基本车速发出相应频率的脉冲(计费脉冲)以此来实现车费和路程的计数,同时车费显示起步价;当停止键为高电平时,表示汽车熄火,同时停止发出脉冲,此时车费和路程计数清零;当暂停键为高电平时,表示汽车暂停并停止发出脉冲,此时车费和路程计数暂停;档位键用于改变车速,不同的档位对应着不同的车速,同时路程计数的速度也不同。 4.模块设计:出租车计费器可分为两大模块,即控制模块和译码显示模块。系统框图如下: 5.电路符号:出租车计费器的输入信号有:计费时钟脉冲clk ;汽车启动键start ;汽车停止键stop ;档位speedup 。输出信号:7段显示控制信号seg7;小数点dp 。 6.具体设计流程: (1)根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA ,counterB ,counterC ,counterD ,counterE 。①计数器A 完成车费百位。②计数器B 完成车费十位和个位。③计数器C 完成车费角和分(显示时只显示角)。④计数器D 完成计数到20(完成车费的起步价)。⑤计数器E 完成模拟实现车行驶100 m 的功能。 计费时钟 档位 启动 控制模块 显示模块 暂停 停止

推荐-基于FPGA出租车计费系统的设计 精品

南京大学金陵学院 本科毕业 院系信息科学与工程系 专业电子信息科学与技术 题目基于FPGA出租车计费系统的设计 提交日期20XX年6月3 日

摘要 通过出租车计费系统的设计,详细介绍了如何使用硬件描述语言Verilog HDL设计复杂逻辑电路的步骤和过程,以及应用美国ALTERA公司的Quartus II 9.0软件进行系统设计、仿真和下载实现的方法。通过设计,可以看到在EDA平台上用CPLD器件构成该数字系统的设计思想和实现过程。论述了计费模块、时钟模块、动态显示模块、计费形式判断模块、控制模块等的设计方法和技巧。 关键词:CPLD/FPGA; 电子自动化设计; Verilog HDL硬件描述语言; 出租车计费器; Quartus II 9.0软件

ABSTRACT Taxi billing system through the design, details how to use the hardware des-cription language Verilog HDL design plexity and the process logic and the applicatio-n of the U.S. pany's Quartus II 9.0 ALTERA software system design, simulation, anddownload the realization of the method. By design, you can see in the EDA platform usi-ng CPLD devices constitute the digital system design and implementation process. Discuss-es the billing module, clock module, dynamic display module, billing forms to judge mod-ule, control module and other design methods and techniques. Keywords: CPLD/FPGA; EDA; Verilog HDL; Taxi Billing Machine; Quartus II 9.0

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

数字电路出租车计价器设计

时序逻辑电路课程设计 引言: 我们组选择了题目一,设计出租车计价器,通过对课本、资料的查阅,再经过构思,设计,搭建电路,仿真,得出了结果,虽然不够完美,但是也掌握了一些知识,增加了对各种原件的印象。下文将详细给出设计。 一、资料查阅 通过参考数字电路课本,以及数字电路实验书,再经过网络查阅,也参考了网上有的类似的设计,想出了电路的基本思路。 二、对于要求的实现 要求1:根据出租车上的速度传感器传来的脉冲个数和设置的里程单价来计算对应的总价格,并将总价格通过LED实时显示。 对于此要求的实现,通过查阅,我们发现了74LS160十位计数器,有预制与清零功能,能进行0-9重复计数。功能表如下 要求2:起步价可以设置:。 由于74LS160有置数功能,所以可以置数,能够要求其从一个确定的数开始计数,所以可以设置起步价。对于从要过了起步价里程才开始计数,因为每一个单位里程是一次脉冲,而芯片又是每一次脉冲才记一次数,所以应用触发器的存储功能来使前几次脉冲无法传至芯片。 要求3:里程单价可以设置。 运用比例乘法器,可以实现脉冲的改变。比如用CC4527比例乘法器,输入一个BCD 数,其输出的脉冲为输入脉冲的BCD的十分之一倍。比如速度传感器的每个脉冲为1km,单价为2元,那么就输入20的BCD数,那么比例乘法器就会输出一个2倍速度传感器脉冲的脉冲信号,以此脉冲作为后面电路的时钟信号,可以实现单价2元。其他单价以此类推,但是由于仿真软件中没有找到比例乘法器,所以仿真中没有单价设置这一项。 要求4:可以对总价格进行复位,从而为下次计费做好准备。

运用74LS160的置数功能,重新对其进行置数即可实现下次重新开始计费。 三、具体电路 时序逻辑:左下角U1为里程脉冲发生器,经过N个D锁存器的延时,才可以传至上面的74LS160芯片,芯片开始计数,显示在右上方的两位显示器上,即计程车的价钱。电路中有两个单刀单置开关,上面的S1和下面的S2。S1的作用为每次重新搭载乘客后的清零和重新显示起步价,S2的功能为开启里程传感器,为计程车进行计价。下面对电路各个部分进行解释: 1.计数部分: 用N块74LS160芯片,即可显示N位十进制数,本次仿真以2位为例,也就是只可以显示0-99元的价格。上图七段显示管U5为十位,U3为个位。如图通过开关S5进行起步价设置控制,芯片有CR和LD引脚,CR为1,LD为0时可以进行置数,入上图,设置起步价为5元。由于当有脉冲时候,才会执行置数功能,而又不能和里程传感器用同一个时钟信号,所以单独设置了一个信号源,通过S1开关,既控制LD电位,又通过与门或门非门作为选择开关控制U16信号源的信号是否输入芯片。当开关断开,LD电位为0,U16信号导通到U2芯片,执行置数功能。当S1开关闭合,CR和LD电位都为1,以里程脉冲作为时钟信号执行计数功能,从预制的数字开始计数。

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

出租车计费系统的设计 毕业设计论文

目录 摘要 (1) 第1章绪论 (2) 第2章系统设计 (3) 2.1系统组成 (3) 2.1.1 计费及显示 (3) 2.1.2 时钟及显示 (3) 2.1.3 计费开始提示 (1) 2.2功能模块设计 (1) 第3章硬件及软件实现 (3) 3.1传感器 (3) 3.1.1 光电传感器电路设计 (3) 3.1.2车轮光电开关检测电路 (4) 3.2单片机 (4) 3.2.1 8051 单片机功能方块图 (5) 3.2.2 AT89S51单片机简介 (7) 3.2.3 AT89S5l与51系列单片机相比具有如下特点 (8) 3.2.4 89S51的内部框图 (9) 3.2.5 中断控制 (11) 3.2.6 单片机控制程序设计 (12) 3.2.7 单片机汇编语言源程序 (16) 3.3显示及按键控制系统 (31) 3.3.1 LED数码管 (31) 3.3.2 数据显示电路的设计 (32) 第4章系统检测及分析 (34) 4.1系统仿真/硬件验证 (34) 4.1.1 系统的调试方法 (34) 4.1.2 系统的硬件验证 (34) 4.2设计技巧分析 (35) 结论 (36) 附录A: FPGA芯片引脚 (37)

摘要 本系统电源电压为+16V,速度传感器具有汽车每运行1㎞提供1000个脉冲信号的特性。显示器可以采用LED数码管。系统采用单片机和FPGA的结合进行系统的主体设计,测控FPGA芯片通过采集传感器脉冲信号WCLK进行里程计算,里程计费,利用外部脉冲信号SCLK产生标准时钟信号,以计算等待时间,等待费用,并产生里程标志(LCBZ),等待标志(DDBZ),熄灯标志(XDBZ)等有关控制标志信号,同时根据单片机发出的开始信号(START),时段标志(SDBZ),传输数据选择(SEL)等控制信号将有关计算结果传送给FPGA芯片。单片机MCU除了完成键盘扫描,显示控制外,还通过P0口与FPGA进行数据交换,并向测控FPGA芯片发出有关控制信号。本设计方案利用单片机和FPGA的结合,发挥它们各自的长处,分工清晰,实际使用和操作符合大众逻辑,容易被人接受。而且,单片机丰富的I/O口和FPGA 模块化的设计为系统功能的扩展提供了空间和便利。 关键词计费系统,单片机,FPGA芯片

出租车计费系统的设计

一、设计目的 1 掌握电子电路的一般设计方法和设计流程; 2 学习使用PROTEL软件绘制电路原理图及印刷板图; 3 掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正 确性。 二、设计要求 设计一个出租车计费系统,具体要求如下: 1. 能够实现计费功能。按照太原出租车的计费标准。 2.能够实现显示功能。分别用四位数字显示行驶里程和总费用,计价分辨率为0.1元。 三、设计内容 1 设计过程 1)使用PROTEL软件绘制原理图。 2)制作PCB版图。 3)应用EWB对所设计的电路进行仿真。 2 设计框图 (1) 各个模块的功能说明 -74160,是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计 数脉冲的有效沿到来。 具体功能如下: 1.异步清零功能 只要(CR的非)有效电平到来,无论有无CP脉冲,输出为“0”。在图形符号中,

CR的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的 信号不是N-1(6),而是N(7)状态。其实,很容易解释,由于异步清零端信号 一旦出现就立即生效,如刚出现0111,就立即送到(CR的非)端,使状态变为 0000。所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。 清零端是低电平有效。 2.同步置数功能 当(LD的非)为有效电平时,计数功能被禁止,在CP脉冲上升沿作用下D0~ D3的数据被置入计数器并呈现在Q0~Q3端。若接成七进制计数器,控制置数 端的信号是N(7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据 就是0110。 7448七段显示译码器 7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。 7448的功能表如表5.3.4所示,它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下: 1. 灭灯输入BI/RBO BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI =0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。 表5.3.4 7488功能表

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

出租车计费系统的设计

摘要

The design of taxi billing system Abstract The design is for a multi-function taxi meter billing system. It can complete a variety of billing functions and can be charged by one kilometer. The fare and distance will be displayed by dynamic scanning circuit. This design uses hardware description language VHDL. It researches on the EDA software platform and the design uses the software of Quartus II as a development platform, using top-down design method. This taxi meter billing system based on FPGA is made up of four basic blocks. The main chip of the system is EP1K100QC208-3 which is made by the company of ALTERA .The system is made up of frequency module, mileage count module, billing module and dynamic scanning module. The program we designed can be compiled and simulation, then it can be downloaded to the FPGA / CPLD chip. The results show that the system can complete a variety of fare functions and will be displayed at the same time. It’s also able to simulate the taxi start, stop and suspend state. Keyword:Billing system; hardware description language; FPGA; display

出租车计价系统设计

分类号:200 届本科生毕业论文 题目:出租车计价系统设计 作者姓名:李小双 学号:2007080416 系(院)、专业:机械与电子工程学院 指导教师姓名:胡波 指导教师职称:讲师

宿州学院毕业设计出租车计价系统设计 200 年月日 摘要 摘要::出租车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试,对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。针对计费模式的切换,通过软件编程就可以轻易而举的实现。避免了机械开关带来的不稳定因素。 关键词:出租车计价器;单片机;控制 ABSTRACT Abstract:Taximeter is both passengers and drivers of the transaction criteria, it is the taxi industry an important symbol, a taxi is the most important tools. It relates to the interests of both transactions. Has a good performance regardless of the meter is a vast number of taxi drivers or passengers are very necessary. Therefore, the car meter is also very much a study of value. The use of analog circuits and digital circuit design of the meter as a whole circuit of a larger scale, using the device, a failure rate is high and difficult to debug, the pattern of switching need to use mechanical switches, mechanical switch will cause a long-time contacts Bad, functions not easy to achieve. SCM used for the design, a relatively powerful, with less hardware and appropriate software can easily coordinate with each other to achieve the design requirements, flexibility and strong, can be programmed by software to complete more of the additional Function. Pricing model for the switch, software programming and can easily cite the achievement. To avoid a mechanical switch the destabilizing factors. Keywords: Taximeter ;89 S51 MCU ;control

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

基于单片机的出租车计价器设计报告

理工大学 《单片机应用与仿真训练》设计报告出租车计价器设计 学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能的计价功能。设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息。本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能。 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。使用光电对管能方便地计量车轮旋转的圈数,输出的脉冲信号被接入到AT89S52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程,并且可以计算实时的速度。与此同时,根据不同的收费标准计算收费。通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等。 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage

出租车计价器设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:微机系统综合课程设计 课程设计题目: 出租车计价器的设计与实现 院(系): 计算机学院 专 业 : 计算机科学与技术 班 级: 24010104 学 号: 2012040101037 姓 名: 程里 指导教师: 罗振 说明:结论(优秀、良好、中等、及格、不及格)作为相关教环节考核必要依据;格式不符合要 求;数据不实 ,不予通过。报告和电子数据必须作为实验现象重复的关键依据。

学术诚信声明 本人声明:所呈交的报告(含电子版及数据文件)是我个人在导师指导下独立进行设计工作及取得的研究结果。尽我所知,除了文中特别加以标注或致谢中所罗列的内容以外,报告中不包含其他人己经发表或撰写过的研究结果,也不包含其它教育机构使用过的材料。与我一同工作的同学对本研究所做的任何贡献均己在报告中做了明确的说明并表示了谢意。报告资料及实验数据若有不实之处,本人愿意接受本教学环节“不及格”和“重修或重做”的评分结论并承担相关一切后果。 本人签名: 日期:年月

沈阳航空航天大学课程设计任务书

课程设计总结:

目录 1 引言 0 1.1出租车计价器概述 0 1.2计价器整体功能描述结构 0 1.3各部分电路功能描述 (1) 2计价器硬件设计 (1) 2.1系统的硬件构成及功能 (1) 2.2MCS-51系列单片机内部结构及功能部件 (3) 2.2.1MCS-51系列单片机的内部结构框图 (3) 2.2.2单片机外部引脚说明 (4) 2.2.3并行输入/输出接口 (6) 3系统的软件设计 (6) 3.1软件总体设计 (6) 3.2系统主程序设计 (8) 3.3显示子程序服务程序 (9) 3.4按键服务程序 (9) 4系统调试与测试结果分析 (10) 4.1系统调试 (10) 4.1.1硬件调试 (10) 4.1.2软件调试 (10) 4.1.3软硬件联调 (10) 4.2 电路图 (11) 参考文献 (10) 附录(关键部分程序清单) (13)

出租车计价器课程设计

. 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

第一章绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的部硬件结构和指令系统主要是针对自动控制应

出租车系统设计

出租车计费系统设计 功能分析 本设计主要介绍了出租车计费系统的设计思路和过程,并给出了所涉及的相关知识的详细介绍。EDA技术应用,单片机的功能及应用,传感器检测技术,VHDL编程语言及汇编语言程序,显示系统设计及按键控制, 目前,普遍的出租车计费器仅仅具有时钟,起步价,里程计费,等待计费及显示几个功能。其发展前景是可观的,将来的产品除具有这些功能外,另外还可增加如下功能:防作弊功能,IC卡付费:顾客能在制定点购买一定额度的"顾客IC卡",乘车后可用IC卡付帐,付帐是否成功有相应的提示。车主可定期将总营业额写入"车主IC卡"中,并据此IC卡向所属公司领取报酬。车票资料打印:顾客付费后可打印发票,打印内容包括车主信息和车费信息等。可打印车主总营业额信息。语音播报:当乘客上车时,可自动问候乘客,当到达目的地,自动播报乘车费用并礼貌再见,表达希望下次乘坐的意思。增加的这些功能将会更好地为乘客服务。 计费及显示 ①里程,即汽车行程里程,用四位数字显示,显示方式为"XXX.X",单位为㎞,精确到0.1 ㎞。 ②单价,即里程单价,用三位数字显示,显示方式为"X.XX",单价为元/㎞,根据每天不同的时间段有两种情况:当时间段为06:00~23:00时单价为1.4元/㎞,其他时间段内单价为1.80元/ ㎞。 ③等候时间,用四位数字显示分钟和秒,显示方式为"XX:XX",等候的定义是:当汽车行驶速度小于或等于12㎞/h时为"等候"。在等候时间大于1小时的情况下,可以不显示等候时间,但必须对等候时间进行统计计算。

④等候单价,等候单价有两种情况:在等候时间小于1小时的情况下,等候单价为1元每5分钟;在等候时间大于1小时的情况下,等候单价为20元每小 时。 ⑤费用的计算,出租车的起价为5.00元,当里程小于2㎞时,按起价计算费用;当里程大于2㎞时按下式计算费用:费用=里程*里程单价+等候时间*等候单价。 ⑥费用的显示,用五位数字显示,显示方式为"XXX.XX",单价为元。 时钟及显示 当出租车在通常运行状态下,应能显示当前的时间,在汽车熄火的情况下,时钟必须正常运行,但是可以不显示时钟. 计费开始提示 当出租车载上乘客并起步后,将空车指示牌扳倒时,空车指示牌里的指示灯熄灭,并有语音或灯光提示信号。 功能模块设计 根据系统的设计要求,将整个测控FPGA系统CZJFXT分为七个模块,它们分别是:分频器模块FPQ,等待判别模块DDPB,里程计算模块LCJS,里程计费模块LCJF,等待计时模块DDJS,等待计费模块DDJF,输出数据选择模块SCXZ。 分频器模块FPQ:将外部时钟信号SCLK(设计时假设为1024HZ)经过适当分频后,产生1HZ的系统工作用基准时钟信号CLK1HZ,供给系统中的有关模块计时用。 等待判别模块DDPB:根据速度传感器脉冲信号WCLK和分频器产生的基准时钟信号CLK1HZ,计算单位时间里WCLK的脉冲个数(每㎞产生1000

相关主题
文本预览
相关文档 最新文档