当前位置:文档之家› 数字电子技术课程设计(四人抢答器)

数字电子技术课程设计(四人抢答器)

数字电子技术课程设计(四人抢答器)
数字电子技术课程设计(四人抢答器)

数电课程设计一:四人抢答器

学院电气(机电)工程学院

专业电气工程及其自动化

班级

学生姓名

联系方式

指导教师

2019年 3月27号

目录

1)绪论 (2)

2)需求分析 (3)

1.设计要求 (3)

2.功能介绍 (4)

3)总体设计 (5)

1.方案选择 (5)

方案一: (5)

方案二: (7)

2.电路原理 (8)

4)详细设计 (9)

1.单元电路设计 (9)

2.74LS00引脚图: (9)

5)测试 (11)

1.电路测试效果: (11)

2.出现的错误 (13)

6)设计总结 (15)

1.优点: (15)

2.缺点(可改进): (15)

7)学习体会 (16)

8)致谢 (16)

9)参考文献 (16)

1.书籍 (16)

2.网址 ............................................................................................. 错误!未定义书签。

1)绪论

随着经济水平的不断提高,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,人们在物质文明得到满足的同时更注重精神文明的追求,各种节目不断涌现,提高节目的质量尤为重要。本次设计是针对活动中用到的抢答器而设计的。抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。

现在的抢答器有着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价值。

抢答器在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器的指示灯显示手段指示出第1抢答者[1]。本论文设计宗旨在培养学生综合模拟、数字电路知识,解决电子信息方面常见实际问题的能力,掌握一般电子电路设计方法与设计步骤。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。

功能简介:参加竞赛者对主持人提出的问题要在短时间内作出判断,并按下抢答按键回答问题。当第一个人按下按键后,同时电路将其他抢答按键封锁,使其不起作用。主持人有开时间和复位键,按下开时间后才能开始抢答,否者犯规。抢答前主持人操作开关使抢答有效,显示灯全熄灭。当四人中有人抢答有效时,其对应的显示灯亮,此时其余的开关不起作用,即其对应的显示灯灭。连接电路并进行操作,观测抢答器的工作情况。

数字电路产品在生活中有着极其广泛的应用,包括计算机、数字通信、智能仪器仪表、自动控制及航天等领域中。这些给人们带来了生活,工作等方面带来了极大的方便。数字电路的发展,使得这门课程对于我们来说是很有必要学好。

数字电路设备实现简单,速度和可靠性好。在这次的数字电路制作中,本人制作四路竞赛抢答器。抢答器在比赛等场合中不可缺少的设备。

本文就是从电路模块的功能简介开始,利用功能不同的数字电路芯片的组合来实现多路抢答器的功能;首先简要的介绍四路竞赛抢答器的设计要求和目的,然后介绍多路抢答

器的原理和设计过程,最后介绍几个主要集成芯片的管脚功能和用法以及总结部分,讲一些电路在实际设计中的检测。

2)需求分析

1.设计要求

项目编号项目

名称

项目内容主要教学内容学习目标

P1 简单

抢答

器的

功能

测试根据电路在

数字电路装

置上连接简

单抢答器电

路,测试其

逻辑功能

1:抢答器的构成

与应用

2:逻辑代数基础

知识

3:各种集成逻辑

门电路

4:常见数字集成

电路的封装

5:数字集成电路

的技术参数

6:数字集成电路

的选用原则

7:数字集成电路

的使用注意事项

8:数字集成电路

空余引脚的处理

方法

9:抢答器的线路

连接与功能测试

1:掌握抢答器的应用

2:掌握二进制、十进制、十六进制数

的互换,掌握8421码的编码规律

3:掌握逻辑运算规律、逻辑函数的表

示方法、互换及化简

4:掌握常见TTL系列和CMOS系列

数字集成电路的种类和封装

5:掌握常见数字集成电路的电压、电

流、使用温度范围等参数

6:掌握数字集成电路的安装、电源电

压、输入电压、输出负载和功耗,不

同类型器件的混合使用注意事项

7:掌握常见数字集成电路空余引脚的

处理方法

8:掌握抢答器的线路连接与功能测试

9:利用逻辑关系查找数字电路的连接

故障

按照抢答的规则,对这种电路的功能要求分析如下。

首先.电路能对第一个按下抢答开关的答题者迅速做出反应,对应的灯要亮,并且灯亮起之后,该答题者不必长按开关,电路可以自行工作,保持灯亮。其次,当有一盏抢答灯亮起之后,其他答题者后继的开关按下信号不会使其它的信号灯亮。最后,当本次答题结束后,主持人的开关可以关闭亮起来的灯,使电路恢复初始状态,等待下一次的抢答。

根据以上的分析,电路要有以下功能:

(1)记忆功能。电路能够保存第一个按下开关者的信号,让其对应的信号灯自动保持长亮,从而答题者不用长按开关。并且该信号还要对屏蔽电路起作用。

(2)屏蔽功能。当第一个灯亮起之后,电路能够使用记忆的信号,屏蔽掉其他后继答题者的开关信号,使其他的灯不会再亮.

(3)恢复功能.主持人开关可以使电路恢复到初始状态。

2.功能介绍

(1)抢答器最多可供4名选手参赛,编号为1-4号,各队对应用一个按钮S1-S4中一个控制,并设置一个清零和抢答控制开关S,该开关由主持人控制。

(2)抢答器具有锁存功能,直到主持人,清零。

(3)开关S作为清零及抢答控制开关(由主持人控制),当开关S被按下时抢答电路清零,当开关S松开后这允许抢答,输入抢答信号由抢答按钮开关S1-S4实现。

(4)有抢答信号输入,即开关S1-S4中的任意一个开关被按下时,对应的LED灯变

亮,此时再按其它任何一个开关被按下均无效,指示灯依旧“保持”第一个开关按下时所对应的状态不变。

3)总体设计

1.方案选择

方案一:

此方案用到四个74ls04非门和四个74ls20与非门电路,电路较好设计,但是缺点在于没有主持人复位按钮。

此方案改善了没有主持人复位按钮的缺陷;如图所示:

2. 电路原理

四人抢答器的功能是每位参赛者有一个抢答按键,按动按键发出抢答信号;竞赛主持人有一个控制按键,用于将抢答信号复位和抢答开始;竞赛开始后,先按动按键者抢答成功,同时封锁另外3路按键,禁止其他参赛者抢答;竞赛者抢答成功后对应的LED 灯亮。四人抢答器系统框图所示。

选手通过按键电路发出抢答信号,先按动者,抢答成功,按键保持与封锁电路将选手

主持人

抢 答 按 钮

控 制 电 路 (D 触发器)

显 示 电 路

反 馈 电 路

信息保持下来,同时封锁其他选手输入。抢答成功后对应选手的LED灯亮。主持人按键电

路发出的复位信号使保持与封锁电路的各路输出复位,等待下一轮抢答

4)详细设计

1.单元电路设计

根据表达式:Y1=AB’C’D’ ,Y2=A’BC’D’,

Y3=A’B’CD’,Y4=A’B’C’D,根据反演律化简每个电

路,得到Y1= A(B+C+D),Y2=B(A+C+D), Y3=C(A+B+

D) ,Y4=D(A+B+C)每个单元电路用三个与非门即可。

2.74LS00引脚图:

74ls00 是常用的2输入四与非门集成电路,他的作用很简单顾名思义就是实现一个

与非门。

74LS00真值表:

A=1 B=1 Y=0

A=0 B=1 Y=1

A=1 B=0 Y=1

A=0 B=0 Y=1

Absolute Maximum RaTIngs绝对最大额定值

Recommended OperaTIng CondiTIons建议操作条件

5)测试

1.电路测试效果:

当其中一位按下时,其他人按下便无效,效果如图所示:

使用次原件将不能显示结果,如图所示:

调试过程:

可能原因:schematic_symbols为原理图符号意思,这个文件库下的原件不能用

6)设计总结

1.优点:

此电路在原有的基础上有了很多改进:

第一,增加了主持人一键复位按键,省去了很多麻烦;

第二,只是用与非门器件,只用很少的芯片即可完成;

第三,只用BP开关和SWITCH开关效果一样

2.缺点(可改进):

第一,可省去无用电阻,使用低电压可达到同样效果。

第二,可用一个集成芯片代替多个与非门器件。

7)学习体会

这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74LS系列等。但同时也暴露出我在知识上掌握不足等缺点。其次在此次设计过程中由于我们频繁的使用一电子设计软件如:Multisim等,因此使我熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。加上在设计过程中遇到了一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能耐。这一课程设计,使我向更高的精神和知识层次迈向一大步。所以在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。

8)致谢

一个人的成长绝不是一件孤立的事,没有别人的支持与帮助绝不可能办到。我感谢可以有这样一个空间,让我对所有给与我关心、帮助的人说一声“谢谢”!今后,我会继续努力,好好学习,好好生活。

9)参考文献

1.书籍

[1] 周良权,方小乔编.数字电子技术.北京:高等教育出版社,1994

[2] 康华光. 电子技术基础(数字部分). 北京:高等教育出版社. 2000

[3] 任为民. 数字电子电路学习和实验指导. 北京:广播电视大学出版社. 1992

[4] 谢自美. 电子线路设计?实验?测试(第二版). 华中科技大学出版社,2000

[5] 侯大年.数字电子技术.北京:电子工业出版社,1999

[6] 欧阳星明.数字逻辑.武汉:华中科技大学出版社,2000

[7] 杨晓辉,张彤,姜俊海.智能抢答器的设计与制作. 长春大学学报 ,

2000,06(24-25)

[8] 周南良.数字逻辑.长沙:国防科技大学出版社,1992

[9] 阎石. 数字电子电路(第六版).

数字八路抢答器课程设计报告

梧州学院 课程设计论文(2013-2014学年下学期) 课程名称数字电路 论文题目八路数字智力抢答器 系别信息与电子工程学院 专业电子信息工程 班级电本一班 学号000000000000 学生XX 聪明的小强 指导教师xxx 完成时间2015 年7 月

抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发, 用数字、模拟电子器件设计具有扩充功能的抢答器。该设计数字抢答器就是利用数字电子技术实现的。主要为了实现抢答、定时、显示、报警功能。其电路由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。当有选手按下按钮时,优先锁存,其他选手在按时无响应,直到主持人按下清零按钮之后,才能开始下一轮的抢答。比较实用。 该数字抢答器可以广泛应用于各种竞赛,确保竞赛的准确,公平。该数字抢答器虽然可以用单片机程序来完成,但繁琐和代价高。而用集成电路设计制作抢答器:方便,价格便宜,还易于扩展。 关键字:编码,译码,555定时器,抢答器

第一章引言 (1) 1.1 设计要求 (1) 1.2 系统概述 (1) 第二章设计方案分析与论证 (3) 2.1 设计方案分析 (3) 2.2 方案的论证与选择 (3) 第三章单元电路设计 (5) 3.1 抢答电路设计 (5) 3.2 定时电路设计 (7) 3.1 时序控制电路设计 ................................................................ (13) 第四章智能抢答器实物制作 (15) 4.1 原理图设计和PCB设计 (15) 4.2 实物焊接及调试 (16) 4.2 实物展示 (17) 第五章测试结果分析与设计体会 (20) 5.1 测试结果与分析 (20) 5.2 设计体会与实验总结 (20) 参考文献 (21) 附录元器件清单 (22)

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

四人抢答器电路设计

课程设计说明书 题目: 四人抢答器电路设计 课程名称:数字电子技术 ______ 学院:电子信息与电气工程学院 学生姓名:_____________ 裴雷雨 _________ 学号:____________ 20110201011X ______ 专业班级:自动化2011级2班 指导教师:______________ 李立 __________ 2013年6月6日

课程设计任务书

四人抢答器电路设计 摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555 定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢 答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47 译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器 74LS192、555 定时器、74LS47 译码器(驱动器)、七段共阳极数码显 示器和双D 触发器74LS47 共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1?设计背景 (1) 1.1数字电路系统 (1) 1.2时钟电路的作用及基本构成 (1) 1.3Multisim 软件和DXP 软件.. (1) 2?设计方案 (2) 2.1分析任务 (2) 2.2论证方案 (2) 2.3电路分析.. (2) 3.方案实施... .. . (3) 3.1设计原理图...... (3) 3.2用Multisim 电路仿真.. (10) 3.3制作PCB ...... (12) 3.4安装与调试... . ...... . ... . (12) 4.结果与结论...... .. (12) 5.收获与致谢......... . ............ . (13) 6.参考文献............ . ...... . (13) 7. ............................ 附件 ........................................... .................... .. (14) 7.1电路原理图......... . (14) 7.2PCB 布线图………… ... ………… . ………………………… (15) 7.3实物图........................ .. ...... . (16)

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

数字电路抢答器电路设计.doc

《数字电子技术课程设计》报告——数字电路抢答器电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 1.课程设计目的 抢答器电路设计方案很多,有用专用芯片设计的、有用复杂可编程逻辑

电路设计的、有用单片机设计制作的、也有用可编程控制器完成的,但由于专用电路芯片通常是厂家特殊设计开发的,一般不易买到或价格较高,用其它方式设计的需要设计者具有相应的理论知识,并要通过仿真器、应用软件、计算机等辅助设备才能验证完成,不利于设计者的设计和制作。 而有些实际竞赛的场合,只要满足显示抢答有效和有效组别即可,故我打算不用所给的参考电路,而用一片 74LS297(8 位的数据锁存器)来实现此简易抢答器的功能。这是一个显示方式简单、价格低廉、经济实用的抢答器。在要求不高的场合,能完全符合需要 2、性能指标要求: (1)设计制作一个可容纳8 组参赛的数字式枪答器,每组设置一个抢答按 钮供抢答者使用。 (2)根据数字式抢答器的功能和使用步骤,设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,起动、复位电路。 (5)设计计分电路,犯规电路。 3.电路组成框图 如图数字抢答器框图

如图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到" 清除 "状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主 持人将开关置“开始”状态,宣布"开始 "抢答器工作。定时器倒计时,扬声器给 出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定 时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 4.元器件清单 元件清单: 74LS148 1 74LS279 1 74LS48 3 74LS192 2 NE555 2 74LS00 1 74LS121 1 510Ω 2 1KΩ9 Ω 1 Ω 1 100kΩ 1 10kΩ 1 68kΩ 1 Ω 1 15k

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

六路数字抢答器的设计

摘要 随着电子技术的发展,它在各个领域的应用也越来越广泛。人们对他的认识也逐步加深。人们也利用了电子技术以与相关的知识解决了一些实际问题。如:智能抢答器的设计与制作。抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。通过定时电路实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。在抢答电路中利用一个优先编码器译出最先抢到答题权的选手的编号并经显示器显示出来,同时还要封锁电路以防其他选手再抢答。当选手问答完成后,主持人将系统恢复至零。 关键词:控制电路; 报警电路; 定时电路

目录 摘要 (Ⅰ) 1绪论.............................................................................. 错误!未指定书签。 1.1设计背景.............................................................................. 错误!未指定书签。 1.2设计任务与要求.................................................................. 错误!未指定书签。2总体设计方案............................................................... 错误!未指定书签。 3 系统详细设计 (3) 3.1抢答器电路 (3) 3.2定时电路 (4) 3.3报警电路 (5) 3.4相关元器件的介绍 (5) 4安装与调试 (8) 4.1调试 (8) 4.2安装 (9) 5系统仿真与测试 (11) 5.1仿真步骤..................................................................... 错误!未指定书签。 5.2仿真结果与分析............................................................................................ 错误!未指定书签。6收获与体会............................................................................. 错误!未指定书签。元件清单.......................................................................... 错误!未指定书签。参考文献......................................................................错误!未指定书签。

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

数电四人抢答器

电子技术课程设计课题:数电四人抢答器 院系:电气与电子工程学院 专业:电气信息类 班级:电气1201班 姓名:周***** 学号:12******** 武汉轻工大学 2014年6月15日

目录 绪论 (2) 一、设计任务和要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 二、方案设计与论证 (3) 2.1 抢答器的基本工作原理 (3) 2.2 系统框图 (3) 2.3 方案比较 (4) 三、单元电路设计 (4) 3.1 抢答器控制电路 (4) 3.2 编码器电路的设计 (5) 3.3 定时电路 (6) 3.4 复位电 (7) 3.5 报警电路 (8) 3.6 时序控制电路 (9) 3.7 译码器电路和数码管显示电路的设计 (10) 四、总电路工作原理及元器件清单 (10) 4.1 总电路原理图 (10) 4.2 元件清单 (12) 五、硬件电路的调试 (13) 5.1 仿真软件简介 (13) 5.2仿真结果 (14) 六、设计过程中的问题和解决办法 (14) 七、设计成品的优点与不足 (14) 八、结论与心得 (15)

绪论 基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。 一、设计任务和要求 1.1设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 1.2设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时

相关主题
文本预览
相关文档 最新文档