当前位置:文档之家› 计算机组成原理作业习题集

计算机组成原理作业习题集

计算机组成原理作业习题集
计算机组成原理作业习题集

名词解释:

1、主机

2、CPU

3、主存

4、存储单元

5、存储元件

6、存储字

7、存储字长

8、存储容量

9、机器字长

10、指令字长

11、PC

12、IR

13、CU

14、ALU

15、ACC

16、MQ

17、MAR

18、MDR

19、I/O

20、MIPS

1、说明计算机更新换代的依据。

2、设想计算机的未来。

名词解释:

1、总线

2、系统总线

3、总线宽度

4、总线带宽

5、时钟同步/异步

6、总线复用

7、总线周期

8、总线的通信控制

9、同步通信

10、比特率

11、分散连接

12、总线连接

13、存储总线

14、I/O总线

15、片内总线

16、数据总线

17、地址总线

18、通信总线

19、串行通信

20、并行通信

1、什么是全相联映射?

2、什么是近期最少使用算法?

3、什么是EPROM?

4、CACHE的特点是什么?

5、什么是动态存储器刷新?

6、半导体动态RAM和静态RAM存储特点最主要的区别是什么?

7、计算机的存储器采用分级存储体系的主要目的是什么?

8、有一主存—CACHE层次的存储器,其主存容量1MB,CACHE容量是64KB,每块8KB,若采用直接映射方式,(1)写出主存的地址和CACHE 地址格式,(2)计算主存的地址各部分的位数。(3)主存地址为25301H 的单元在主存的那一块,映射到CACHE的那一块?

9、有一个组相联映像CACHE由64个存储块构成,每组包含4个存储块,主存包含4096个存储块,每块由128字节组成,(1)写出主存的地址和CACHE地址格式 (2)计算CACHE和主存地址各部分的位数。(3)主存地址为48AB9H的单元在主存的那一块,映射到CACHE的那一块?

10、现有8K×8位的ROM芯片和8K×4位的RAM芯片组成存储器,按字节编址,其中RAM的地址为2000H~5FFFH,ROM的地址为A000H~DFFFH,(1)写出需要几片芯片组成此存储器。(2)画出此存储器结构图及与CPU的连接图。

11、用8K×8位的ROM芯片和8K×4位的RAM芯片组成存储器,按字节编址,其中RAM的地址为0000H~5FFFH,ROM的地址为C000H~FFFFH,1)写出需要几片芯片组成此存储器。(2)画出此存储器组成结构图及与CPU的连接图。

12、现有8K×4位的RAM芯片组成存储器,要求每个存储单元存放8位二进制数据,按字节编址,地址为0000H~3FFFH (1)写出需要几片芯片组成此存储器。(2)画出此存储器结构图及与CPU的连接图。

习题五

1、I/O设备有哪些编址方式,各有何特点?

2、说明CPU与I/O之间传递信息可采用哪几种联络方式?它们分别用于什么场合?

3、什么是I/O接口,与端口有何区别?为什么要设置I/O接口?I/O 接口如何分类?

4、说明中断向量地址和入口地址的区别和联系。

5、什么是多重中断?实现多重中断的必要条件是什么?

6、某计算机的I/O设备采用异步串行传送方式传送字符信息。字符信息的格式为1位起始位、7位数据位、1位校验位和1位停止位。若要求每秒钟传送480个字符,那么该设备的数据传送速率为多少?

7、什么条件下,I/O设备可以向CPU提出中断请求?

8、在什么条件和什么时间,CPU可以响应I/O的中断请求?

9、某设备向CPU传送信息的最高频率是40 000次/秒,而相应的中断处理程序其执行时间为40μs,试问该外设是否可用程序中断方式与主机交换信息,为什么?

10、设磁盘存储器转速为3000转/分,分8个扇区,每扇区存储1K 字节,主存与磁盘存储器数据传送的宽度为16位(即每次传送16位)。假设一条指令最长执行时间是25μs,是否可采用一条指令执行结束时响应DMA请求的方案,为什么?若不行,应采取什么方案?

11、I/O的编址方式可分为和。

12、I/O设备与主机交换信息时,信息的传送方式有:和。

13、I/O设备与主机交换信息时,不论采用串行传送还是并行传送,

它们的联络方式可分为、、

同步工作采用同步时标联络三种。

14、I/O设备与主机交换信息时,共有5种控制方式:、、直接存储器存取方式(DMA)、

I/O通道方式、I/O处理机方式。

15、I/O接口按照功能的灵活性分类,可分为和

16、I/O接口按照数据传送的控制方式分类,有和

17、I/O接口按通用性分类,有和。

18、DMA的数据传送过程分为、和后处理3个阶段。

19、现代集成电路制造技术已将DMA制成芯片,通常有两种类型DMA 芯片:和。

20、在DMA方式中,由于DMA接口与CPU共享主存,有可能出现两者

争用主存的冲突,为了有效地分时使用主存,通常DMA与主存交换数

据时采用、和DMA和CPU交替访问。

21、CPU响应中断时要保护现场,包括对和的保护,

前者通过硬件自动(中断隐指令)实现,后者可通过软件编程实现。22、CPU在时刻采样中断请求信号(在开中断的

情况下),而在时刻采样DMA的总线请求信号。

23、一次中断处理过程大致可分为、、中断响应、

中断服务和中断返回等五个阶段。

24、通道是,它由指令启动,并以执行通

道指令完成外围设备与主存之间进行数据传送。

25、显示器的主要性能指标是图像的和。

习题六

判断一下1到12小题的对错并改正。

1、计算机中广泛应用二进制数进行运算、存储和传递,其主要理由是由物理器件性能所致。

2、采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于阶码的尾数,精度取决于尾数的位数。

3、一个浮点数,当其尾数右移时,欲使其值不变,阶码必须增加。尾数右移一位,阶码减1。

4、对于一个浮点数,阶码的大小确定了小数点的位置,当其尾数左移时,欲使其值不变,必须使阶码减小。

5、采用浮点数表示时,最大浮点数的阶符一定为正,尾数的符号一定为负。

6、采用浮点数表示时,最小浮点数的阶符一定为正,尾数的符号一定为正。

7、采用浮点数表示时,当阶码和尾数的符号均为正,其他的数字部分全部为1时,表示的是最大浮点数。

8、移码常用来表示浮点数的阶码部分,移码和补码的符号位不同。

9、设机器数字长为8位(含1位符号位),对应十进制数x=-0.6875的[x]原为1.1011111,[x]补为1.0101111。

10、补码表示的二进制浮点数,尾数采用规格化形式,阶码3位(含阶符1位),尾数5位(含1位符号位),则对应的最大正数真值为7.5 ,最小正数真值为 1/32(写出十进制各位数值)。

11、某机器字长16位(含1位符号位),它能表示的无符号整数范围是0~65535,用原码表示的定点小数范围是-(1-2-15)~(1-2-15)。用补码表示的定点小数范围是-1-(1-2-15),用补码表示的定点整数范围是-32768~32767。

12、在原码、补码、反码和移码中,原码、反码对0的表示有两种形式,补码、移码对0的表示只有一种形式。

13、求十进制数-113的原码表示,反码表示,补码表示和移码表示(用8位二进制表示,并设最高位为符号位,真值为7位)。

14、设机器数字长为8位(含1位符号位),用补码的形式计算下题。设A=115,B=-24,求A±B。

15.设X= - 0.1011,Y= 0.1101。计算:[X+Y]补、X+Y、[X-Y]补、X-Y。

16、设机器数字长为8位(含1位符号位),用补码运算规则计算下列各题。

(1)A=9/64, B=-13/32,求A+B 。 (2)A=19/32,B=-17/128,求A-B 。

17、设机器数字长为8位(含1位符号位),设A =649,B =32

13-,计

算[A ±B ]补,并还原成真值。

18、用原码一位乘计算x*y。设x= 0.110 1,y= -0. 1 011。

19、设浮点数字长为32位,欲表示±6万间的十进制数,在保证数的最大精度条件下,除阶符、数符各取1位外,阶码和尾数各取几位?按这样分配,该浮点数溢出的条件是什么?

20、按机器补码浮点运算步骤,计算[x±y]补。

设x=2-011× 0.101 100,y=2-010×(-0.011 100)。

习题七解释下面1到20题的名词。

1、机器指令

2、指令系统

3、操作码

4、地址码

5、指令字长

6、寻址方式

7、形式地址

8、有效地址

9、隐含寻址

10、机器字长

11、存储字长

12、RISC

13、CISC

14、立即寻址

15、直接寻址

16、间接寻址

17、寄存器寻址

18、四地址指令

19、三地址指令

20、零地址指令

填写下面21到50题目的空格。

21、指令是由和两部分组成的。

22、在设计操作码不固定的指令系统时,应尽量考虑安排指令使用频度的指令占用的操作码。

23、地址码中指的“地址”可以是,也可以是,甚至可以是I/O设备的地址。

24、在指令字长仍为32位、操作码位数仍固定为位时,地址指令操作数的直接寻址范围达224,即16M。

25、指令字长取决于操作码的长度、和。

26、机器中常见的操作数类型有、、字符、逻辑数据等。

27、数据传送包括寄存器与、寄存器与、存储单元与存储单元之间的传送。

28、移位可分为、逻辑移位和三种。

29、通常调用指令包括过程调用、和。

30、用于从当前的程序位置转至子程序的入口;用于子程序执行完后重新返回到原程序的断点。

31、指令寻址比较简单,它分为和两种。

32、基址寄存器可采用和两种。

33、相对寻址的有效地址是将的内容与指令字中的相加而成。

34、堆栈寻址要求计算机中设有堆栈。堆栈既可用来实现,可以利用的一部分空间作堆栈。

35、堆栈的运行方式为或两种。

36、为了节省开销,人们希望已开发的软件能被继承、兼容,这就希望的指令系统和寻址方式一定能包含所有的指令和寻址方式。

37、80-20规律,即典型程序中的语句仅仅使用处理机中的指令。

38、RISC机指令长度,指令格式种类,寻址方式种类少。

39、RISC机采用技术,大部分指令在时钟周期内完成。

40、CISC的指令系统复杂庞大,各种指令使用频度;指令

字长,指令格式多,寻址方式多。

41、CISC绝大多数指令需要时钟周期方可执行完毕;采用微程序控制器难以用优化编译生成的目标代码。

42、RISCⅡ的指令格式有两种:格式和格式。

43、RISCⅡ指令系统有两种访存寻址方式:一种是,另一种是,还可以组合方式产生其他寻址方式。

44、从实用角度出发,商品化的RISC机,因用途不同还可扩充一些指令,例如:、、读后置数指令和一些简单的专用指令。

45、操作码的位数反映了机器的,如操作码占7位,则该机器最多包含条指令。

46、操作码长度不固定会增加和分析的难度,使控制器的设计。

47、如果指令字长不变,设OP仍为8位,则3个地址字段各占8位,故地址指令操作数的直接寻址范围可达。

48、通常计算机中的数据存放在或寄存器中,而的位数便可反映机器字长。

49、算数左移可实现对有符号数和无符号数 2n的运算。并且移位操作所需时间远比乘除操作执行时间,因此,移位操作经常被用来代替简单的乘法和除法运算。

50、由于可以在许多处调用子程序,因此,CPU必须记住返回地址,使子程序能准确返回。返回地址可存放在以下3处:、子程序的入口地址、。

51、为什么说指令系统与机器的主要功能以及与硬件结构之间存在着密切的关系?

52、为什么要学习寻址方式?

53、零地址指令的操作数来自哪里?

54、对于二地址指令而言,操作数的物理地址可安排在什么地方?

55、执行四地址指令需要几次访问存储器?

56、立即寻址的优点是什么?

57、立即寻址的缺点是什么?

58、操作数在指令中,可能是什么寻址方式?

59、操作数在存储器中,可能是什么寻址方式?

60、基址寻址和变址寻址的区别是什么?

61、操作数有效地址为某一寄存器中的内容和位移量之和,可能是什么寻址方式?

62、RISC的主要特点是什么?

63、CISC的主要特点是什么?

64、RISC与CISC有何区别?

65、指令系统中采用不同寻址方式的目的主要是什么?

66、零地址双操作数指令不需要指出操作数地址是为什么?

67、直接、间接、立即三种寻址方式指令的执行速度,由快到慢的排序是什么?

68、指令中一般含有哪些字段?

69、将指令按功能分类,一般可分为哪几类?

70、对于不定长操作码,设计操作码指令格式的原则是什么?

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理 作业三

计算机组成原理作业三 1.设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128 2.设机器字长为8位(含1位符号位),用补码运算规则计算下列各题。 (1)A=9/64,B=-13/32,求A+B; 解: (1)A=9/64=(0.001 0010)2 B= -13/32=(-0.011 0100)2 [A]补=0.001 0010 [B]补=1.100 1100 [A+B]补= 0. 0 0 1 0 0 1 0 + 1. 1 0 0 1 1 0 0 1. 1 0 1 1 1 1 0 ——无溢出 A+B=(-0.010 0010)2 = -17/64 (2)A=19/32,B=-17/128,求A-B; 解: (2)A=19/32=(0.100 1100)2 B= -17/128=(-0.001 0001)2 [A]补=0.100 1100 [B]补=1.110 1111 [-B]补=0.001 0001 [A-B]补= 0. 1 0 0 1 1 0 0 + 0. 0 0 1 0 0 0 1 0. 1 0 1 1 1 0 1 ——无溢出 A-B=(0.101 1101)2 = 93/128 3. 试比较基址寻址和变址寻址。 答:基址寻址需设有基址寄存器BR,其操作数的有效地址EA等于指令字中的形式地址与基址寄存器中的内容(称作基地址)相加。即EA=A+(BR) 变址寻址有效地址EA等于指令字中的形式地址A与变址寄存器IX的内容相加之和。即EA=A+(IX) 变址寻址与基址寻址的有效地址形成过程极为相似。 两者的不同: 基址寻址主要用于为程序或数据分配存储空间,故基址寄存器的内容通常由操作系统或管理程序确定,而指令字中的A是可变的。 在变址寻址中,变址寄存器的内容是由用户设定的,在程序执行过程中其值可变,而指令字中的A是不可变的。变址寻址主要用于处理数组问题,在数组处理过程中,可设定A为数组的首地址,不断改变变址寄存器IX的内容,便可很容易形成数组中任一数据的地址,特别适合编制循环程序。 4.什么是中断?设计中断时需考虑哪些问题? 答:当主机正在繁忙地处理它的具体事务时,某个外设向主机提出需要临时处理问题的请求,于是主机响应外设请求暂时中断正在执行的程序,转去处理外部事件,处理完后再返回到被中断程序的断点处继续执行原程序的过程,称为中断。

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理3-7章作业答案

第3章习题参考答案 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用存条结构形式,问; (1) 若每个存条为16M ×64位,共需几个存条? (2) 每个存条共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各存条? 解: (1) 共需 条464 1664 226=??M 存条 (2) 每个存条共有 328 464 16=??M M 个芯片 (3) 主存共需多少 1288 464 648464226=??=??M M M 个RAM 芯片, 共有4个存条,故CPU 选择存条用最高两位地址A 24 和A 25通 过2:4译码器实现;其余的24根地址线用于存条部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16448 1632 64=?=??K K 个芯片,其中每4片为一组构成16K ×32 位——进行字长位数扩展(一组的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、D 16~D 23和D 24~D 31,其余同名引脚互连),需要低14位地址(A 0~A 13)作为模块各个芯片的部单元地址——分成行、列地址两次由A 0~A 6引脚输入;然后再由4组进行存储器容量扩展,用高两位地址A 14、A 15通过2:4译码器实现4组中选择一组。画出逻辑框图如下。 (2) 设刷新周期为2ms ,并设16K ?8位的DRAM 结构是128?128?8存储阵列,则对所有单元全部刷新一遍需要128次(每次刷新

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理 作业三

《计算机组成原理》作业(三) 学完6-7章后可以完成作业(二)。作业总分100分,将作为平时成绩记入课程总成绩。 一、简答题(每题6分,共30分) 1、什么就是总线?总线传输有何特点?为了减轻总线负载,总线上的部件应具备什么 特点? 答:总线就是连接各个部件的信息传输线,就是各个部件共享的传输介质。总线 上信息传输的特点:某一时刻只允许有一个部件向总线发送信息, 但多个部件可以同时从总线上接收相同的信息。 以CPU片内总线为例,在每个需要将信息送至总线的寄存器输出端接三态门,由三态 门控制端控制什么时刻由哪个寄存器输出。当控制端无效时,寄存器与总线之间呈 高阻状态。 2、为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种 方式响应时间最快?哪种方式对电路故障最敏感? 答:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器定时查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器定时查询方式 优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式速度最快,但硬件器件用量大,连线多,成本较高。 3、简要说明程序中断接口中IM、IR、EI、RD、BS五个触发器的作用。 五个触发器的作用: 中断屏蔽触发器(IM):CPU就是否受理中断或批准中断的标志。Im标志为“0” 时,CPU 可受理外界中断请求。 中断请求触发器(IR):暂存中断请求线上由设备发出的中断请求信号,IR标志为“1” 时, 表示设备发出了中断请求。 允许中断触发器(EI):用程序指令来置位,控制就是否允许某设备发出中断请求。IE 为“1”时,某设备可以向CPU发出请求。 准备就绪的标志(RD):一旦设备做好一次数据的接收或发送,便发出一个设备动作完 毕信号,使RS标志为“1”。 工作触发器(BS):设备“忙”的标志。BS=1,表示启动设备工作

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理A形考作业3参考解答

计算机组成原理A形考作业3参考解答 一、选择题 1.下列部件(设备)中,存取速度最快的是____B____。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 2.某SRAM芯片,其容量为1K×8位,加上电源端和接地端,该芯片引出线的最少数目应为___D___。 A.23 B.25 C.50 D.20 3.在主存和CPU之间增加Cache的目的是___C___。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 4.在独立编址方式下,存储单元和I/O设备是靠___A___来区分的。 A.不同的地址和指令代码 B.不同的数据和指令代码 C.不同的数据和地址 D.不同的地址 5.随着CPU速度的不断提升,程序查询方式很少被采用的原因是__C____。 A.硬件结构复杂 B.硬件结构简单 C.CPU与外设串行工作 D.CPU与外设并行工作 6.在采用DMA方式的I/O系统中,其基本思想是在___B___之间建立直接的数据通路。 A.CPU与外设 B.主存与外设 C.CPU与主存 D.外设与外设 二、判断题判断下列说法是否正确,并说明理由。 1.CPU访问存储器的时间是由存储器的容量决定的,存储器容量越大,访问存储器所需的时间越长。 错。CPU访问存储器的时间不取决于存储器容量,而取决于存储器存取周期和存储器带宽。 2.引入虚拟存储系统的目的,是为了加快外存的存取速度。 错。引入虚拟存储系统的目的,是为了解决主存容量小、运行成本高的问题。 3.按主机与接口间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 错。应该是按主机与外设间的数据传送方式,输入/输出接口可分为串行接口和并行接口。 4.DMA控制器通过中断向CPU发DMA请求信号。 错。应该是当结束数据传送时,DMA控制器通过中断向CPU发出请求信号。 三、简答题 1.在三级存储体系中,主存、外存和高速缓存各有什么作用?各有什么特点? 答:由主存、外存和高速缓存组成的三级存储体系是为了解决存储器的速度、容量的成本之间的矛盾。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

相关主题
文本预览
相关文档 最新文档