当前位置:文档之家› 电工与电子技术实验报告(上)

电工与电子技术实验报告(上)

电工与电子技术实验报告(上)
电工与电子技术实验报告(上)

电工与电子技术

实验报告

班级:___________________ 姓名:

学号:___________________

机电工程学院

实验二基尔霍夫定律的验证

实验日期:______________任课老师:________________成绩:

组号:____________________同组人员:_________________________________ 一、实验目的:

二、实验原理:

三、实验仪器设备:

四、实验电路图:

五、实验数据记录:

六、实验注意事项:

七、实验分析:

1、根据实验数据,选定实验电路中的任一个接点,验证KCL的正确性。

2.根据实验数据,选定实验电路中的任一个闭合回路,验证KVL的正确性。

3.误差原因分析。

4.心得体会及其它。

实验四叠加原理的验证

实验日期:______________任课老师:________________成绩:

组号:____________________同组人员:_________________________________ 一、实验目的:

二、实验原理:

三、实验设备:

四、实验电路图:

六、实验注意事项:

七、实验分析:

1.根据实验数据验证线性电路的叠加性和齐次性。

2.各电阻器所消耗的功率能否用叠加原理计算得出?试用上述实验数据,进行计算作出结论。

3.心得体会及其它。

实验五电压源与电流源的等效变换

实验日期:______________任课老师:________________成绩:

组号:____________________同组人员:_________________________________ 一、实验目的:

二、实验原理:

三、实验仪器设备:

四、实验步骤与记录:

1.测定电压源的外特性电路图:

实验内容一:

实验内容二:

2.测定电流源的外特性电路图:

R

=1KΩ

R

=∞

3.测定电源等效变换电路图:

实验数据记录:

毫安表读数:,电压表读数:,恒流源Is=

五、实验注意事项:

六、实验分析:

1.根据实验数据绘出电源的四条外特性(见下页),并总结、归纳各类电源的特性。

2.从实验结果,验证电源等效变换的条件。

3.心得体会及其它。

绘出电压源的外特性图:

绘出电流源的外特性图:

实验六戴维南定理

实验日期:______________任课老师:________________成绩:

组号:____________________同组人员:_________________________________ 一、实验目的:

二、实验原理:

三、实验仪器设备:

四、实验电路图:

五、实验步骤与记录:

1.用开路电压、短路电流法测定戴维南等效电路的U

OC 和R

2.负载实验:

3.验证戴维南定理:

4.测定有源二端网络等效电阻(又称输入端电阻)的实验:

R

=

O

六、实验注意事项:

七、实验分析:

1.根据步骤2和3,分别绘出曲线(另用坐标纸画),验证戴维南定理的正确性,并分析产生误差的原因。

2.归纳、总结实验结果。

3.心得体会及其它。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

小学五年级科学实验报告单

小学五年级科学实验报告单 五年级科学上册实验报告单动实验土壤的渗水能力 口名称 表 达 五年级科学上册实验报告单 三个同样大小的透明塑料瓶、烧杯3个、三种不同的土 仪器壤、水、纱布、细线、剪刀 名称测量水温的变化动实验 口名称 动方 1) 取三个同样大小的透明塑料瓶,去掉瓶底,用纱布蒙住瓶口,扎好,倒立在支架上,在瓶口下面放一只同样大小的烧杯。手法 2) 向三个瓶中分别装进同样多的沙质土、黏质土和壤土, 操步并同时倒入同样多的水。 3) 对三只烧杯收集到的从瓶中渗出的水,进行比较。作骤 实验从沙质土中渗出的水最多,其次是壤土,最少的是黏质土。现象 动 口 表 达 实验三种土壤的渗水能力由高到低依次是:沙质土、壤土、黏质土。结论五年级科学上册实验报告单

表 100毫升烧杯、100毫升锥形瓶、2支温度计、热水、冷水、达仪器铁架台、纸板。 名称物体传热动实验 口名称 1) 向烧杯中加入280毫升热水(80摄氏度左右),向锥形动方瓶中加入80毫升冰水。 2) 将锥形瓶放入烧杯中,用纸板盖住杯口,在纸板上打两手法 个孔。 操步 3) 将两支温度计分别放入热水和冰水中。 4) 观测两个容器中水的初始温度和间隔1分钟的变化,并作骤 做好记录。 实验把冷水放入盛有热水的容器时,冷水逐渐变热,热水会逐渐变 现象冷,最后达到共同温度后一块变成室温。动 口 表 达 实验热在冷水和热水中是从温度高的地方传向温度低的地方。结论 五年级科学上册实验报告单 表 达仪器铁架台、铁棒、酒精灯(或蜡烛)、火柴、凡士林、小木棍名称 动实验颜色与吸热口名称 动方 1)把铁棒固定在铁架台上,把小木棍的一端用凡士林依次 粘在铁棒下。(注意:凡士林用量要相同,小木棍粗细要相同) 手法

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

小学五年级科学实验报告

小学科学实验报告单 学校:小纪汗乡中 心小学年级: 五年级 时间2015.9.6 实验类型 分组:() 演示:(√) 实验名称种子的发芽实验 实验器材: 培养皿2只、滴管1只、标签纸3张、绿豆种子10粒、放大镜1个、清水 猜测:种子的发芽需要一定的条件 实验过程: 1、准备好两个培养皿,在里面平铺上纸巾; 2、在每个纸巾上按三个洞,各放 3 粒绿豆; 3、往一个纸巾上滴水,另一个培养皿里的纸巾不滴水 4、将每天的变化记录下来,填在记录表内: 观察到的现象或 实验的结果: 绿豆种子在有水、常温、有空气、没有阳光的室内发芽了实验结论:种子的发芽需要一定的条件 评定等级:良指导教师:石娟娟

小学科学实验报告单 学校:小纪汗乡中 心小学年级: 五年级 时间2015.9.10 实验类型 分组:() 演示:(√) 实验名称蚯蚓与环境 实验器材:带盖长纸盒2个、干土、湿土若干、蚯蚓6只猜测:蚯蚓喜欢在黑暗潮湿的地方 实验过程: 1、把一个盒子一端剪开一个较大的方口,可以透光,盒子的底部铺上一层吸水纸; 2、将捉来的蚯蚓放在盒子的中间,盖好盖子,5分钟后,观察蚯蚓的运动方向。 3、在另一个盒子中,一端铺上干土,一端铺湿土 4、将蚯蚓放在盒子的中间,盖好盖子,5分钟后,观察蚯蚓运动的方向。 观察到的现象或实验的结果:第一次的实验结果是,蚯蚓爬向黑暗的一端;第二次的实验结果是,蚯蚓爬向湿土的一端。 实验结论:蚯蚓喜欢在黑暗潮湿的地方 评定等级:良指导教师:石娟娟

小学科学实验报告单 学校:小纪汗乡中 心小学年级: 五年级 时间2015.9.17 实验类型 分组:() 演示:(√) 实验名称做一个生态瓶 实验器材:塑料桶、沙子、小石子、小铲、漏网、水草、标签、记录纸、小鱼猜测:生物和非生物是互相作用、互相依存的 实验过程:1、找一个大油桶,清洗干净后剪掉上面一部分; 2、在桶底放一层淘洗干净的沙子,再装入大半瓶自来水或域水; 3、在桶里种上几棵水草,在水面放一些浮萍; 4、在植物存活后,再放入小鱼等小动物。 5、每天注意观察生态瓶里发生的变化:把观察到的现象填入表中。 观察到的现象或 实验的结果: 生物和非生物是互相作用、互相依存的。 实验结论:生物和非生物是互相作用、互相依存的,形成了一个密不可分的整体。评定等级:优指导教师:石娟娟

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

教科版五年级科学下册实验报告单

五年级科学下册实验报告单 科学实验报告单1 实验名称 实验目的 实验材料 实验过程 实验二: 2、把小石 块、橡皮、泡沫 块、萝卜分别切成二分之一、四分之一、八分之一放入水中观察它们的沉 浮 实验结论 木块、塑料、泡沫在水中是浮的;小石头、回形针在水中是沉的。由同一种材料构成的物体 改变它们的体积大小,在水中的沉浮是不会发生改变的。 科学实验报告单2 影响物体沉浮的因素 研究物体的沉浮与哪些因素有关 水槽、小石块、泡沫塑料块、回型针、蜡烛、带盖的空瓶、萝卜、橡皮、一套同体积不同重 量的球、一套同重量不同体积的立方体、小瓶子、潜水艇 实验过程 实验1.按体积大小顺序排列七种物体,再标 出它们在水中是沉还是浮。想一想,物体的 沉浮和它的体积大小有关系吗? 实验2、按轻重顺序排列七种物体,再标出它们在水中是沉还是浮。想一想,物体的沉浮和它的轻重 有关系吗 实验结论 沉。 科学实验报告单3 橡皮泥在水中的沉浮 橡皮泥排开水的体积 水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验一: 找一块橡皮泥做成各种不同形状的实心物体放入水中,观察它们的沉浮。 1、让橡皮泥浮在水面上,用上面同样大小的橡皮泥,改变它的形状,即把橡皮泥做成船形 或者空心的, 橡皮泥就能浮在水面上。 2、取一个量杯,装入 200毫升的水,记录橡皮泥在水中排开水的体积。 实验结论 实心橡皮泥质量不变,形状改变,体积也不变,橡皮泥的沉浮不会发生改变。 橡皮泥在水中排开水的体积越大,浮力越大。 科学实验报告单4 实验名称 实验目的 实验材料 实验过程 二、 制作1.画出 船的设想草图; 三、 改进和完成 1. 放到水里试试,找出需要改进的地方; 2.演示、介绍我的小船。 实验结论 相同重量的橡皮泥,浸入水中的越大越容易浮,它的装载量也随之增大。物体的沉浮 观察物体的沉浮 水槽、水、塑料、小刀、泡沫、橡皮、萝卜、曲别针等各种材料 实验一: 取小石头、木块、橡皮、针等放入水中,观察它们的沉浮。 1、把水槽放在展台上,从袋中取出泡沫、回形针、萝卜等分别放入水中观察它们的沉浮 实验名称 实验目的 实验材料 不同材料构成的物体,如果体积相同,重的物体容易沉;如果质量相同,体积小的物体容易 实验名称 实验目的 实验材料 实验过程 实验二: 造一艘小船 比较哪种船载物多 水槽、若干橡皮泥、若干垫子、玻璃弹子、有关图片 一、准备1.决定造一艘什么船;2?准备需要的材料。 2.动手制作。

三年级科学实验报告单

大中小学三年级科学上册分组实验报告单实验内容:鹦鹉站立制作实验 年级:三年级上册第一单元 课题:1、做一名小科学家 实验器材:彩色卡纸一张、剪刀、回形针 实验结论:回形针分别别在鹦鹉的脚的两侧,可以使鹦鹉平稳站立在手指上。 1 大中小学三年级科学上册分组实验报告单实验内容:蜗牛观察实验

年级:三年级上册第二单元 课题:1、校园里的小动物 实验器材:蜗牛一只、大号餐盘、菜叶、肉片、苹果皮、鸡蛋、面包、醋、啤酒、玻璃片 实验结论:上述食物,蜗牛只吃菜叶,如用书上几种材料,蜗牛除了菜叶还喜欢黄瓜。遇到醋或者酒之类刺激物体,蜗牛会立刻缩回到壳里。 2 大中小学三年级科学上册分组实验报告单实验内容:水的毛细现象 年级:三年级上册第三单元 课题:2、神奇的水 实验器材:不同颜色的水、纸巾;粉笔、纱布、塑料片、玻璃片(2块,在其中一块玻璃片上绕上几圈透明胶);两支粗细不一样的玻璃管;

3

小,水爬升得越高。 4 大中小学三年级科学上册分组实验报告单实验内容:观察水 年级:三年级上册第三单元 课题:2、神奇的水 实验器材:滴管、一元硬币、烧杯、回形针每组一盒;戳好洞的可乐瓶一只、水盆一个;大小烧杯各一只、橡皮泥一块、50克砝码一只、细线一根。 实验类型:水的表面张力为学生操作实验,会喷射的水和会托举的水为教师演示

5

间拉着的力,以承受一点的重量。 6 会喷射的水:瓶子上方小孔的水喷射的距离近,下方小孔的水喷射的距离远,因为孔越高,受到水的压力越低,所以射不远;孔位置越低,水的压力也越大,所以射得最远。 会托举的水:开始小烧杯浮在大烧杯里,放入砝码后仍会浮在水中,但位置下降了,大烧杯里水位上升了。放得砝码越重,水位越高,小烧杯沉得越低,直至沉

北邮数电综合实验报告

数字电路与逻辑设计实验题目: 简易出租车计价器 学号: 姓名: 班级: 学院: 时间:2013/11/4

一.设计课题的任务要求 设计一台出租车计价器,不同情况具有不同的收费标准。 基本要求: 1、行驶公里:用时钟2 秒钟表示出租车匀速行驶1 公里,在行车5 公里以内,按起步 价13 元收费,超过5 公里部分,以每公里2 元收费。燃油附加费为每运次1 元。 2、途中等待:用按键控制中途等待,等待少于(包括)5 秒不收费,超过5 秒后每等待3 秒钟加收1 元。 3、用数码管分时显示计费金额、行驶里程和等候时间。字母A 表示当前处于显示计费金额状态,字母B 表示当前处于显示行驶里程状态,字母C 表示当前处于显示等候时间状态。 4、用按键控制出租车空驶、载客状态并用点阵显示空驶、载客状态。 二、系统设计(包括设计思路、总体框图、分块设计) 1、设计思路: 将整个计价器分为控制和计费模块,按键及防抖模块,数码管显示模块,点阵显示模块。其中控制和计费模块作为系统核心,负责给出所有控制和对外显示信号。按键及防抖模块提供输入按键信号,用于状态间切换。数码管用于显示计费金额、里程和等待时间信息。点阵模块用于显示出租车载客和空驶状态。

2、设计框图: 3、分块设计 ①控制和计费模块: 采用状态机的设计方式,根据计费计时方式的不同,分为了S0、S1、S2、S3四个状态,四个状态的含义和状态转移图如图所示:

②按键防抖模块: 如图:按键防抖模块的原理是利用信号延迟,每个防抖模块都有一个输入时钟,每按下一次按键后输出端将产生一个输入时钟宽度的脉冲,输入时钟频率与主控模块中的状态切换扫描时钟频率相同,使状态能够及时的切换。

三年级科学实验报告单上册

三年级科学上册实验 第一单元《科学在我们身边》 1、实验名称:减少空气阻力 (第3课科学在我们身边)实验目的: 流线型的物体能减少空气阻力。 实验材料: 蜡烛、火柴、瓶子、木块、书本、文具盒、小漏斗。实验步骤: 1、点燃蜡烛,固定在桌子上。 2、隔着这些形状不同的物体,吹点燃的蜡烛。 3、使漏斗的宽口正对着蜡烛的火焰,从漏斗的小口用力吹气,蜡烛能吹灭吗? 4、反过来用漏斗的宽口用力吹气,蜡烛能吹灭吗? 实验现象: 1、隔着瓶子能吹灭蜡烛,隔着木块、文具盒、书本吹不灭蜡烛。 2、用漏斗的宽口对着火焰吹气时,火苗不容易吹灭。反之蜡烛将很容易吹灭。 实验结论: 流线型的物体能减少空气阻力。 注意事项: 1、瓶子、木块和漏斗与蜡烛的距离必须相等。 2、嘴与蜡烛的火焰要在同一个水平线上。 第二单元我们怎么知道 3、实验名称:辨认物体 (第4课怎样认识物体)实验目的: 学会辨认物体的方法。 实验材料: 矿泉水、酱油、白醋、盐水、白糖水、白酒筷子。实验步骤: 1、用眼睛看,辨别出酱油。 2、用鼻子闻,可辨别出白酒、白醋。 3、用舌头尝,可辨别出白糖水、矿泉水、盐水。 实验结论: 辨别物体特征时,要遵循一看、二闻、三尝的实验顺序。注意事项: 1、在用“闻”这种方法时,提醒学生不要直接靠近实验物体,要用手扇动,使气体飘过来闻。 2、在用“尝”这种方法时,提醒学生要用筷子或玻璃棒蘸取少量的物品来尝。 4、实验名称:测量水温 (第6课哪杯水热) 实验目的: 学会使用温度计。 实验材料: 水温计、烧杯、水 操作步骤: 1、用手拿温度计的上部。 2、将温度计的玻璃泡浸在水中,不要接触容器壁和容器底。 3、等温度计内的液柱静止后,读出温度。 4、读数时,温度计不能拿出水面,视线要与温度计液柱顶端相平。 实验结论:温度计能准确的测量水温。 注意事项: 在测量之前要先估测被测物体的温度,根据估计的温度选用量程合适的温度计,一定注意被测物体的温度不能超过温度计的最大测量值。 5、实验名称:水温的变化 (第7课水温的变化) 实验目的: 了解水温变化的规律 实验材料: 铁架台、烧杯、水温计、计时器。 实验步骤: 1、将温度计吊在铁架台上。 2、在烧杯内倒上温度大约80摄氏度的半杯热水,并调整烧杯与温度计的位置,使温度计的液泡完全浸入到热水中,不要接触到杯壁和杯底。 3、等温度计的液柱上升到最高点时,读出此时的温度,记录下来。每隔两分钟记录一次,持续实验15—20分钟。 实验现象: 热水的温度随着时间的推移而不断下降。 实验结论: 热水降温的过程是先快后慢,降到一定温度(室内温度)不再下降。 注意事项: 1、0分钟的温度应该是液柱在最高点的数据,也就是液柱刚要回落时的温度。 2、在测量的过程中,要保持温度计与烧杯位置不变,不能将温度计拿出烧杯读数。 链接:水温变化规律形成的原因 水温的变化规律是先快后慢,这与温差有关。 给凉水加热时,热源是不变的,也就是在单位时间内热源释放的能量相同,根据能量守恒定律,凉水的温度是应该均衡上升的。但由于水在加温的过程中与外界出现了温差,而且温差随时间的推移不断增大,因此水的蒸发和热传递加快,热水对外散失的热量呈直线上升状态,温度越高(低于沸点),单位时间内散失的热量越多。所以,在热源不变的情况下,水升温的规律就是先快后慢。 热水降温时,起初温差较大,单位时间内热水向散失的热量较多,降温的速度较快,随着时间的推移,温差逐渐变小,单位时间内热水向外界散失的热量也逐渐减少,降温的速度也随之减缓下来。 补充实验加快热水降温的实验 实验目的:探究快速降温的方法。 实验材料:烧杯、筷子、扇子、冷水、热水、水槽等。实验步骤: 1、把五个烧杯分别编上号,倒上等量同温度的热水。 2、在1号杯子上方用扇子扇,2号杯子用筷子搅,3号 杯子放进冷水的水槽,4号杯子往另一个杯子来回倒,5号杯子静放在桌子上。 3、半分钟后同时测量五杯水的温度。

北邮模电实验报告函数发生器

北京邮电大学 课程实验报告 课程名称:电子测量与电子电路 设计题目:函数信号发生器 院系:电子工程学院电子科学与技术专业 班级: 2013211209 学生姓名: 刘博闻 学号: 2013211049 指导教师:高惠平

摘要 函数信号发生器广泛地应用于各大院校和科研场所。随着科技的进步,社会的发展,单一的函数信号发生器已经不能满足人们的需求,本实验设计的正是多种波形发生器。 本实验由两个电路组成,方波—三角波发生电路和三角波—正弦波变换电路。方波—三角波发生电路由自激的单线比较器产生方波,通过RC积分电路产生三角波,在经过差分电路可实现三角波—正弦波的变换。 本电路振荡频率和幅度用电位器调节,输出方波幅度的大小由稳压管的稳压值决定;而正弦波幅度和电路的对称性也分别由两个电位器调节,以实现良好的正弦波输出图形。它的制作成本不高,电路简单,使用方便,有效的节省了人力,物力资源,具有实际的应用价值。 关键词:三角波方波正弦波幅度调节频率调节

目录 设计要求 (1) 1.前言 (1) 2.方波、三角波、正弦波发生器方案 (1) 2.1原理框图 (1) 2.2 系统组成框图 (2) 3.各组成部分的工作原理 (2) 3.1 方波-三角波产生电路的工作原理 (2) 3.2 三角波-正弦波转换电路的工作原理 (4) 3.3 总电路图 (6) 4.用Mutisim电路仿真 (7) 4.1方波—三角波电路的仿真 (7) 4.2方波—正弦波电路的仿真 (8) 5电路的实验结果及分析 (9) 5.1方波波形产生电路的实验结果 (9) 5.2 方波---三角波转换电路的实验结果 (10) 5.3正弦波发生电路的实验结果 (11) 5.4实验结果分析 (12) 6.实验总结 (12) 7.仪器仪表清单 (13) 7.1所用仪器及元器件: (13) 7.2仪器清单表 (13) 8.参考文献 (16) 9.致谢 (166)

北邮数电实验报告

北京邮电大学 实验报告 实验名称:数电实验学院:信息与通信工程学院 班级: 姓名: 学号: 日期:2015年5月

目录 1、实验一 (4) (1)实验名称和任务要求 (4) (2)原理图 (4) (3)仿真波形及分析 (5) 2、实验二 (5) (1)实验名称和任务要求 (5) (2)VHDL代码 (6) (3)模块连接图 (8) (4)仿真波形及分析 (8) 3、实验三 (9) (1)实验名称和任务要求 (9) (2)VHDL代码 (10) (3)连接VHDL代码 (11) (4)图形模块 (12) (5)仿真波形及分析 (12) (6)端口说明 (13) 4、实验四 (13) (1)实验名称和任务要求 (13) (2)VHDL代码 (13) (3)图形模块 (16)

(4)仿真波形及分析 (18) (5)端口说明 (21) 5、故障及问题分析 (21) 6、总结 (21)

一:实验一 1、实验名称和任务要求 实验名称:Quartus2原理图输入法设计 实验目的: 1、熟悉用Quartus2原理图输入法进行电路设计和仿真 2、掌握Quartus2图形模块单元的生成与调用 3、熟悉实验板的使用 实验内容: 1 、用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图 形模块单元。 2 、用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真 验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 3 、用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=/C/B/A+/CB/A+C/B/A+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2、原理图 (1)半加器 (2)全加器

三年级科学下册实验报告单完整版

三年级科学下册实验报 告单 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

实验一、温度和温度计 28摄氏度写作: 20摄氏度写作: 零下5摄氏度写作: -21℃读作: 31℃读作: 实验要求:用温度计测量水的温度。

一、实验名称:水结冰了 二、实验目的:观察水在不同温度下温度计的读数 三、实验步骤: 1、在试管里加入一半的纯净水,用温度计测量并记录试管里水的温度 2、拿一只保温杯(或在普通塑料杯外包裹一块干毛巾)在杯内装满碎冰, 把试管插入碎冰中,用温度计观测试管里水温的变化 3、在碎冰里加入较多的食盐,保持几分钟持续观测试管里的水温 4、观测试管里的水开始结冰时的温度 四、实验器材:试管、保温杯、温度计、碎冰块、食盐、纯净水。 结论: 1、水在低温环境中,温度会不断下降,当温度下降到0℃时开始结冰,由液态变为固态。 2、结冰后温度会继续下降,一直降到与环境的温度相同为止。 3、水在结冰的过程中要向周围放出热量。

实验四、冰融化了 一、实验目的:观察冰融化过程中温度的变化 二、实验步骤: 1、从冰箱中取出一些冰块,把冰块放入烧杯内,用温度计测量并录 录冰块的温度 2、让冰块自行融化,在冰块融化过程中,按均匀的时间间隔测量温 度 3、当冰块完全融化成水时,记录温度计的读数 三、实验器材:烧杯一只、温度计、记录表、可封口的小塑料袋一 只、 冰块若干 2、温度会不断上升,当上升到0℃时,冰块开始融化,在融化过程中一直保持0℃。 3、冰块完全融化成水后,温度继续上升,一直到室温。 4、冰块融化的过程中需要吸收热量。 实验五、磁铁有磁性 (一)实验名称:磁铁有磁性 二、实验步骤: 1.用实验方法研究磁铁能吸引什么,不能吸引什么。 2、在磁铁和铁制物品之间先放上纸、布、塑料片、木头片等看看磁铁能不 能被吸引 3.用磁铁识别哪些硬币是铁材料制作的。 三记录 实验要求:若物体能被磁铁吸引,请在能被磁铁吸引项中打“√”,不能被吸引的打“×”。

北邮数电综合实验电子沙漏的设计与实现

北京邮电大学数电综合实验报告 实验名称:电子沙漏的设计与实现 学院:信息与通信工程学院 姓名: 班级: 学号: 班内序号:

一、实验设计的基本要求 沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。 1、采用 8*8 双色点阵显示电子沙漏的开机界面,如图 2 所示。其中红色 LED 代表沙漏的上半部分沙粒 VD0~VD15,绿色 LED 代表沙漏的下半部分 VD0'~VD15'。 2、用拨码开关 SW1 模拟重力感应器。当 SW1 为低电平时,沙粒从VD0~VD15 向 VD0'~VD15'移动;当 SW1 为高电平时,沙粒从 VD0'~VD15'向 VD0~VD15 移动。 3、按键 BTN0 作为计时启动停止按键,启动后沙粒即可按照 SW1 设定的方向移动, 以 SW1 为低电平时为例,LED 移动的顺序与对应关

系如图 3 的1~16所示(若 SW1 为高电平,则点阵显示移动顺序为 16~1)。每颗沙粒的移动时间为 1 秒,当移动到图 3 的16时,若 SW1 仍为低电平,则保持沙粒不动,但计时继续,直到 SW1 的电平发生变化或者 BTN0 计时停止。

4、设计实现一个 60 秒计时器,当按键 BTN0 启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管 DISP0~DISP1 显示计时结果。 提高要求: 1、可以调节控制电子沙漏的流动速度。 2、用多种方式呈现电子沙漏界面。 3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。 4、外接重力感应器,实现真实的电子沙漏功能。 5、自拟其它功能。 二、系统设计 1、设计思路 实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。 由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。 控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。

北邮数电实验报告-信息

北邮数电实验报告■信息

!掠玄卑堂走 皐 数字电路与逻辑设计实验班内序号

姓学专班学 *** 院信息与通信工程学院 信息工程 *** **** 班内序号

实验一 一、实验名称和实验任务要求 1. 实验内容:Quartusll原理图输入法设计与实现。 2. 实验目的: (1)熟悉用Quartusll原理图输入法进行电路设计和仿真。 (2)掌握Quartusll图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3. 实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线一8线译码器(74LS138)和逻辑门实现函数 F=(/?5(/??(/??+(/????/??+??7??(/??+????,?仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 sum=ain ? bin ? cin cout = (ain ? bin) cin + ain*bin 。

列出真值表: 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 、实验名称和实验任务要求 1. 实验内容:用VHDL设计与实现组合逻辑电路。 2. 实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用Quartusll文本输入法进行电路设计。 3. 实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘ 1' 时,输出为‘ 1',否则输出‘ 0',仿真验证其功能,并下载到实验板测试。要求用拨码开关 设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出 信号。

相关主题
文本预览
相关文档 最新文档