当前位置:文档之家› 简易波形发生器

简易波形发生器

简易波形发生器
简易波形发生器

目录

摘要 (1)

一、项目可行性研究 (2)

二、项目方案选择 (2)

控制器模块: (2)

信号发生模块 (2)

输出显示模块 (3)

系统最终方案 (3)

三、硬件设计 (4)

单片机最小系统 (4)

串口模块 (4)

参数的计算 (5)

四、软件设计 (5)

(1)上位机软件的设计与实现 (5)

(2)下位机软件设计与实现 (6)

五、调试与结果分析 (6)

附录: (7)

1、信号发生电路 (7)

2、上位机软件界面 (7)

3、单片机程序流程图 (8)

4、最终实物 (9)

5、部分程序代码 (9)

简易波形发生器

摘要

信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本设计主要介绍了MAX038的基本性能,给出了一种以STC89C52为主控制器,以MAX038为主函数发生芯片的程控函数信号发生器的硬件电路及软件设计方法。该方法采用STC89C52并通过程控D/A转换器和八选一模拟开关CD4051来实现对MAX038频率的调控,可以输出正弦波、方波和三角波等三种波形,其频率范围为0.1Hz~20MHz。

一、项目可行性研究

函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如MAX038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。

二、项目方案选择

控制器模块:

方案1:采用可编程逻辑期间CPLD作为控制器。CPLD可以实现各种复杂的逻辑功能、规模大、密度高、体积小、稳定性高、IO资源丰富、易于进行功能扩展。采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模控制系统的控制核心。但是CPLD价格加高,从使用及经济的角度考虑我们放弃了此方案。

方案2:采用STC公司的单片机作为主控制器而用凌阳单片机作为辅助控制器。STC89C52是一个低功耗,高性能的51内核的CMOS8位单片机,片内含8k空间的可反复擦写100000次的Flash只读存储器,具有512bytes的随机存取数据存储器(RAM),32个IO口,2个16位可编程定时计数器。且该系列的51单片机可以不用烧写器而直接用串口或并口就可以向单片机中下载程序。我们使用的51系统板,性能稳定,下载程序方便。

从方便使用的角度考虑,我们选择了方案2。

信号发生模块

方案1:用分立元件组成的函数发生器。通常是单函数发生器且频率不高,其工作不很稳定,不易调试,需要的元器件多。

方案2:采用函数发生器芯片是ICL8038(国产5G8038),它的主要技术指标是最高振荡频率仅为100kHz,而且三种输出波形从不同的引脚输出,而且幅度常随频率变化使用很不方便。

方案3:采用MAXIM公司的MAX038芯片。MAX038是ICL8038的升级产品,他的最高振荡频率可达40MHz,而且由于在芯片内采用了多路选择器,使得三种输出波形可通过编程从同一个引脚输出,输出波形的切换时间可在0.3μs 内完成,使用更加方便。主要参数指标:

频率范围:0.1-20MHz;

输出波形:三角波、锯齿波、矩形波(含方波)、正弦波信号;

占空比调节范围:各输出波形均可在25%~75%范围内调节;

输出信号幅度:2V(p-p);

波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温度范围为0~70℃。内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调节。

我们选择方案3。

输出显示模块

方案1:用数码管进行显示。数码管由于显示速度快,使用简单,显示效果简洁明了而得到了广泛应用。但是由于我们计划显示输出信号波形类型和预置频率、幅度,内容很多。用数码管无法显示如此丰富的内容,因此我们放弃了此方案。

方案2:用LCD液晶进行显示。LCD由于其显示清晰,显示内容丰富、清晰,显示信息量大,使用方便,显示快速而得到了广泛的应用。对于此系统我们选用的JM12864液晶能够显示汉字和数字,并具有绘图功能。能够很好的满足显示要求,因此我们选择了此方案。

系统最终方案

经过反复论证,我们最终确定了如下方案:

控制器采用STC89C52单片机。

信号产生模块采用MAX038。

使用单片机控制TLC5615实现MAX038的参数调整。

采用LCD1602液晶屏显示波形、频率等内容。

采用独立键盘实现系统控制输入。

也可由PC经串口模块输入。

外部提供稳压电源,稳压+5V为单片机供电,稳压±5V为MAX038供电。

图3.1-最终方案图

三、硬件设计

单片机最小系统

STC89C52单片机最小系统板由STC89C52芯片,复位电路,晶振电路,32个引出IO口等组成,电路图如下

图4.1单片机最小系统

串口模块

51单片机有一个全双工的串行通讯接口,所以单片机和计算机之间可以方便的进行串口通讯。进行串口通讯时要满足一定的条件,计算机串口所需的是RS232电平,而单片机的串口是TTL电平的,所以两者之间必须要有一个电平转换,这里我们采用了美信公司的MAX232进行转化,线路简单,转化可靠稳定。

图4.2串口电平转化电路

参数的计算

频率控制的算法如下:MAX038的输出频率由Iin引脚的电流、COSC引脚的对地电容量和FADJ引脚的电压来决定。设MAX038的10脚Iin输入端电压为Vin,串接电阻为Rin,在当引脚8(FADJ)接地时,则产生的信号的频率公式为:

Fo=Vin/(Rin*COSC)(1)

式(1)中:

fo是输出频率,单位MHz

Vin是输入端电压,单位V

Rin是串接电阻,单位Ω

COSC是决定输出频率的串入电容值,单位pF

如图所示取Rin=50kΩ,Vin的范围0.5-5V,这样对于某一电容,可提供10倍扫频范围,从而合理选择COSC的电容就可确定输出频率范围,即频段。

波段切换电路由CD4051(电子模拟开关)和6个波段电容C1~C6组成,由单片机的P2.0~P2.3引脚控制CD4051内部的8个电子模拟开关接通或断开,从而择不同的电容接入MAX038的5脚COSC。

这里选择6个不同的COSC值,将整个输出频率范围内的信号分为6个频段,覆盖到设计所要求的1Hz~50kHz。

当电阻Rin=50kΩ,Vin的范围0.5-5V时,由式(1)可计算出各波段分配的频率范围、电容COSC的容量。

选择如表所示

波段频率范围电容

10.1hz——10hz1uf

210hz——1000hz0.1uf

31000hz——10khz0.01uf

410khz——100khz1000pf

5100khz——1000khz100pf

61000khz——5mhz30pf

表1--频率范围表

四、软件设计

本软件系统包括上位机软件和下位机单片机程序,实现PC与单片机间进行串口通讯。

(1)上位机软件的设计与实现

上位机软件采用MicroSoft Visual Studio2005开发环境、选用C#语言编写,C#来实现可视化编程相对容易,除其他常用类只需用到一个SerialPort类就可实现所需功能。

SerialPort类:该类主要实现串口数据通信,只要设置有关串口通信的各项属性就可以与单片机实现数据通信。

将选择和输入的参数,经过计算、处理,转换成单片机运行所需的参数,

经串口送给单片机,从而实现PC对单片机的控制。

最终软件界面见附图。

(2)下位机软件设计与实现

该软件系统主要包含波形控制模块、串口通讯模块、显示模块。

1波形控制模块:用单片机控制TLC5615数模转换输出不通电压值,实现频率的变化,控制CD4051选择不同的电容,实现频段的变化,用IO口直接接MAX038的A0,A1实现波形的选择

2串口通讯模块:ATMega16具有专用的UART串口接口,实现串口间数据传输十分方便,只需配置几个寄存器的值配合串口接收中断就能完成与PC的通信。

3显示模块:显示模块使用LCD1602,编程简单、使用方便,在此不再详述。

五、调试与结果分析

通过示波器来测试,波形.频率.P-P与LCD上显示的数据比较,通过按键实现正弦波.三角波.方波之间的切换以及步进的选择和频率的选择,得频率测试结果如下表:

组号示波器上测得的数据LCD上显示的数据

正弦波三角波方波正弦波三角波方波15hz7hz5hz5hz 2100hz110hz105hz105hz 3400hz395hz400hz405hz 41000hz1001hz1001hz1005hz 55khz 5.013khz 5.012khz 4.998khz 610khz9.985khz10.012khz10.009khz 720khz19.987khz20.023khz20.019khz 850khz49.985khz49.982khz50.008khz

表2--测试结果表

1、通过数据的处理,频率基本达到预期要求,误差较小。

2、通过观察可知已实现三种波形的切换,P-P值也达到要求。

3、波形在低平段显示较好,高频的段有部分失真,经过电路检测,在放大电路之前的波形基本无失真,但经过放大之后的高频段有部分失真。

附录:

1、信号发生电路

2、上位机软件界面

3、单片机程序流程图

4、最终实物

5、部分程序代码

#include

#define uint unsigned int #define uchar unsigned char

sbit tlc_cs=P3^5;

sbit tlc_sclk=P3^2;

sbit tlc_din=P3^3;

sbit lcden=P2^6;

sbit lcdrs=P2^7;

sbit max_a0=P2^4;

sbit max_a1=P2^3;

sbit cd_a=P2^2;

sbit cd_b=P2^1;

sbit cd_c=P2^0;

sbit key1=P1^0;

sbit key2=P1^1;

sbit key3=P1^2;

sbit key4=P1^3;

sbit key5=P1^4;

uchar wav,C;

uint fa,N;

uchar flag,a,wred;

uchar buf[5],num;

long int f,T;

void delay(uint z)

{

uint x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);

}

void tlc_5615(uint da)

{

uchar i;

da<<=6;//在这里,da是16位数据,即两个字节,而tlc5615是十位的da转换器,所以要把前面高6位移出不要,

//只保留十位有效数据.

tlc_cs=0;

tlc_sclk=0;

for(i=0;i<12;i++)

{

tlc_din=(bit)(da&0x8000);//在这里有十位有效的da与0x8000位与,然后达到一位一位的移出,移完10位有效数据后,再移两位0.

tlc_sclk=1;

da<<=1;//左移一位.

tlc_sclk=0;

}

tlc_cs=1;

tlc_sclk=0;

for(i=0;i<12;i++);

}

void delay1(uchar x)//延时子程序

{uchar i,j;

while(x--)

{

for(i=0;i<250;i++)

for(j=0;j<132;j++);

}

}

void write_com(uchar com)//LCD写命令{

P0=com;

lcdrs=0;

lcden=0;

delay(10);

lcden=1;

delay(10);

lcden=0;

}

void write_data(uchar date)//LCD写数据{

P0=date;

lcdrs=1;

lcden=0;

delay(10);

lcden=1;

delay(10);

lcden=0;

}

void init()

{

TMOD=0x20;//设置定时器1为工作方式2

TH1=0xfd;

TL1=0xfd;

TR1=1;

REN=1;

SM0=0;

SM1=1;

EA=1;

ES=1;

num=0xff;

f=1000;

fa=10;

wav=0;

T=1000000/f;

max_a1=1;

N=f*1.0445;

tlc_5615(N);

delay1(10);

write_com(0x38);

write_com(0x0c);

write_com(0x06);

write_com(0x01);

write_com(0x80);

write_data('w');

write_data('a');

write_data('v');

write_data('e');

write_data(':');

write_com(0x80+0x0e);

write_data('H');

write_data('z');

write_com(0x80+0x40);

write_data('f');

write_data('=');

write_com(0x80+0x46);

write_data('H');

write_data('z');

write_com(0x80+0x49);

write_data('T');

write_data('=');

write_com(0x80+0x4f);

write_data('s');

lcd_write();

}

void keyscan()

{

if(key1==0)

{

delay(5);

if(key1==0)

{

wav++;

if(wav==3)

wav=0;

lcd_write();

}

while(!key1)

delay(5);

while(!key1);

if(wav==0)

{

max_a1=1;

}

else if(wav==1)

{

max_a1=0;

max_a0=0;

}

else if(wav==2)

{

max_a1=0;

max_a0=1;

}

}

if(key2==0)

{

delay(5);

if(key2==0)

{

f+=fa;

if(f>10000)

f=1;

T=1000000/f;

N=(int)f*1.0445;

tlc_5615(N);

delay1(10);

lcd_write();

}

while(!key2)

delay(5);

while(!key2);

}

if(key3==0)

{

delay(5);

if(key3==0)

{

f-=fa;

if(f<0)

f=10000-1;

T=1000000/f;

N=(int)f*1.0445;

tlc_5615(N);

delay1(10);

lcd_write();

}

while(!key3)

delay(5);

while(!key3);

}

if(key4==0)

{

delay(5);

if(key4==0)

{

if(fa==1)

fa=10;

else if(fa==10)

fa=100;

else

fa=1;

lcd_write();

}

while(!key4)

delay(5);

while(!key4);

}

}

void change()

{

if(wav==0)

{

max_a1=1;

}

else if(wav==1)

{

max_a1=0;

max_a0=0;

}

else if(wav==2)

{

max_a1=0;

}

if(f<100)

{

N=f*10;

C=6;

cd_a=1;

cd_b=0;

cd_c=1;

tlc_5615(N);

delay1(10);

}

else if(f<1000)

{

N=f;

C=5;

cd_a=0;

cd_b=0;

cd_c=1;

tlc_5615(N);

delay1(10);

}

else if(f<10000) {

N=f/10;

C=4;

cd_a=1;

cd_b=1;

cd_c=0;

tlc_5615(N);

delay1(10);

}

else if(f<100000) {

N=f/100;

C=3;

cd_a=0;

cd_b=1;

cd_c=0;

tlc_5615(N);

delay1(10);

}

else if(f<1000000) {

C=2;

cd_a=1;

cd_b=0;

cd_c=0;

tlc_5615(N);

delay1(10);

}

else

{

N=f/5000;

C=1;

cd_a=0;

cd_b=0;

cd_c=0;

tlc_5615(N);

delay1(10);

}

T=1000000/f;

}

void main()

{

init();

while(1)

{

keyscan();

if(wred==1)

{

ES=0;

write_com(0x80);

f=buf[0]*256+buf[1];

if(buf[2]>0)

{

f=f*1000;

}

wav=buf[3];

num=0;

change();

lcd_write();

/*write_com(0x80);

if(buf[0]/16+'0'>'9')

write_data(buf[0]/16-10+'A');

else

write_data(buf[0]/16+'0');

if(buf[0]%16+'0'>'9')

write_data(buf[0]%16-10+'A');

else

write_data(buf[0]%16+'0');

write_data('');

*/

wred=0;

ES=1;

}

if(flag==1)

{

ES=0;

flag=0;

SBUF=a;

while(!TI);

TI=0;

ES=1;

}

}

}

void ser()interrupt4

{

if(SBUF==0xFE)

{

RI=0;

wred=1;

}

if(!wred)

{

RI=0;

//P1=SBUF;

a=SBUF;

if(num!=0xff)

buf[num]=SBUF;

flag=1;

num++;

}

}

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

简易信号发生器

唐山学院 《单片机原理及应用》课程设计 题目简易信号发生器 系 (部) 信息工程系 班级 姓名 学号 指导教师 2013 年 12 月 30 日至 2014 年 1 月 3 日共 1 周2014年 1 月 3 日

《单片机原理及应用》课程设计任务书

课程设计成绩评定表

目录 1 引言 (1) 2 总体设计 (2) 2.1系统原理设计 (2) 2.1.1设计原理 (2) 2.1.2设计方案论证 (2) 2.1.3设计思想 (3) 2.1.4设计功能 (3) 2.2硬件原理框图 (4) 2.3电路构成 (4) 2.3.1主控电路 (4) 2.3.2 数模转换电路 (5) 2.3.3 按键接口电路 (6) 2.3.4 复位及时钟电路 (6) 2.4器件选择 (7) 2.5软件设计 (7) 2.5.1 软件设计原理 (7) 2.5.2 软件流程图 (7) 3 设计总结 (10) 参考文献 (11) 附录 (12)

引言 AT89C51单片机随着大规模集成电路技术的发展,由中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、(I/O)接口、定时器/计数器和串行通信接口,以及其他一些计算机外围电路等均可集成在一块芯片上构成单片微型计算机。单片机具有体积小、成本低,性能稳定、使用寿命长等特点。其最明显的优势就是可以嵌入到各种仪器、设备中,这是其他计算机和网络都无法做到的[1,2] 信号发生器是指其输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定、屏蔽良好的中、高档信号发生器。信号发生器应用广泛,种类繁多,性能各异,分类也不尽一致。 本实验介绍一种用AT89C51单片机构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可调,并由DAC0832转换模块单输入缓冲方式,输入的数字量转换为模拟量得到波形,具有线路简单、结构紧凑、性能优越等特点。

单片机实现简易波形发生器

电子信息工程专业 单片机课程设计报告 题目简易波形发生器姓名 学号 班级 指导教师 2013年7 月4 日

要求: 1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。 3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。 5.课程设计任务书和报告要语言流畅,图表正确规范。 6.本表要用钢笔、圆柱笔填写或打印,字迹工整。

课程设计报告 1 设计原理与技术方法: 1.1 电路工作原理分析 本次单片机实习采用的是单片机STC89C52,对于简易波形发生器设计的硬件电路主要为三个部分,为显示部分、键盘部分、D/A转换电路,以下对三个部分分别介绍。 1.1.1 显示电路原理 如图1.1所示八位八段数码管为共阴极数码管,通过两个74HC573锁存器与单片机连接,一片573的LE为位选信号另一片的LE为段选信号,分别由单片机的P2.7和P2.6控制,高电平有效。当P2.7=1、P2.6=0时,位选有效,P0.0-P0.7分别控制01-08八位数码管选通,低有效,即通过P0口送出数据,哪一位为0则哪一位数码管有显示;当P2.6=1、P2.7=0时,段选有效,此时P0.0-P0.7分别控制每一位八段数码管的每一段a b c d e f g dp 的亮灭,高有效,从而使数码管显示数字0-9。显示段码如表1.1所示。 图 1.1 显示电路 表1.1 共阴极数码管显示段码 1.1.2 键盘电路原理 如图1.2所示为4×4的矩阵式键盘与单片机的P3口相连,行连接P3.0-P3.3,列连接P3.4-P3.5。用扫描法对按键进行扫描,先将所有行置0,所有列置1,当有按键按下时,通过对P3口的状态查询则按下的按键所在列将为0,其余仍未1,通过延时去抖动判断是否真有按键按下,若有,则逐行扫描,判断按键所在行,最后返回按键键码,并去执行相应

函数波形信号发生器

函数波形发生器设计 摘要 函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过差分放大器形成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 经过仿真得出了方波、三角波、正弦波、方波——三角波转换及三角波——正弦波转换的波形图。 关键字:函数信号发生器、集成运算放大器、晶体管差分放 设计目的、意义 1 设计目的 (1)掌握方波—三角波——正弦波函数发生器的原理及设计方法。 (2)掌握迟滞型比较器的特性参数的计算。 (3)了解单片集成函数发生器8038的工作原理及应用。 (4)能够使用电路仿真软件进行电路调试。 2 设计意义 函数发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。 在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。 设计内容 1 课程设计的内容与要求(包括原始数据、技术参数、条件、设计要求等): 1.1课程设计的内容 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; (4)对单片集成函数发生器8038应用接线进行设计。 1.2课程设计的要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真,PCB设计。 2 函数波形发生器原理 2.1函数波形发生器原理框图 图2.1 函数发生器组成框图

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

DSP任意波形信号发生器毕业设计

目录 摘 要 (2) Abstract (3) 1 绪论 (4) 1.1概述 (4) 1.2选题的目的、意义 (4) 1.3 选题的背景 (5) 1.4 本文所研究的内容 (6) 2 波形信号发生器的原理及方案选择 (7) 2.1任意波形信号发生器的原理 (7) 2.1.1 直接模拟法 (7) 2.1.2 直接数字法 (7) 2.2 任意波形发生器的设计方案 (9) 2.2.1 查表法 (9) 2.2.2计算法 (9) 2.2.3传统方法 (10) 3 基于DSP 5416的任意波形信号发生器的软件设计 (12) 3.1 TMS320C5416的开发流程 (12) 3.2软件开发环境 (13) 3.3任意波形信号发生器的软件编程 (14) 3.3.1 计算法实现波形输出 (14) 3.3.2 D/A转换 (15) 3.3.3波形控制及软件设计流程图 (16) 3.4参数的设定 (18) 4 基于DSP 5416的任意波形信号发生器的硬件设计 (20) 4.1 TMS320VC5416开发板 (20) 4.2 TMS320VC5416实验箱的连接 (23) 4.3 波形信号发生器的硬件测试过程 (23) 5 任意波形信号发生器展望 (28) 结束语 (29) 致谢 (30) 参考文献 (31)

摘 要 任意波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而倍受人们青睐。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 随着无线电应用领域的扩展,针对广播、电视、雷达、通信的专用信号发生器获得了长足的发展,表现在载波调制方式的多样化,从调幅、调频、调相到脉冲调制。如果采用多台信号发生器获得测量信号显然是很不方便的。因此需要任意波形发生器(Arbitrary Waveform Generator,AWG),使其能够产生任意频率的载频信号和多种载波调制信号。 目前我国已经开始研制任意波形发生器,并取得了可喜的成果。但总的来说,我国任意波形发生器还没有形成真正的产业。并且我国目前在任意波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本文主要工作分为以下几个方面:首先,介绍研制任意波形信号发生器的目的、意义、背景,以及利用CCS仿真工具用软件实现任意波形信号发生器的的过程 ;之后,对硬件的连接及测试结果作介绍;最后,简要的对任意波形信号发生器的未来作一下展望。 关键词:DSP,任意波形信号发生器,DDS

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

简易波形发生器

摘要 波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。RC 桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。方波通过积分运算电路,整形为三角波。 关键词正弦波发生器/过零比较器/电压跟随器/正弦波/方波/三角波

目录 1方案设计 (1) 2 简易波形发生器原理级框图 (4) 2.1 基本原理 (4) 2.2 原理框图 (4) 3 正弦波发生电路 (5) 3.1 正弦波振荡器原理和结构 (5) 3.2 产生振荡的条件 (5) 3.2.1振荡平衡条件 (5) 3.2.2 振荡起振条件 (6) 3.3 RC选频网络 (7) 3.3.1 RC桥式振荡器电路 (7) 3.3.2 RC桥式振荡器的选频特性 (8) 3.3.3 电压跟随器 (9) 4 方波发生电路 (11) 4.1 迟滞比较器 (11) 4.2 方波产生原理 (12) 5 三角波的产生电路 (13) 5.1方波到三角波的转换原理 (13) 6 简易波形发生器的设计 (15) 6.1简易波形发生器的总原理 (15) 6.1.1 输出波形 (15) 6.1.2 频率范围 (16) 6.1.3 输出电压 (16) 6.1.4 显示输出波形的类型 (16) 7 设计总结与心得体会 (17) 致谢 (18) 主要参考文献 (19) 附录一:总原理电路图 (20) 附录二:元件清单 (21)

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

DSP多波形任意信号发生器实验报告要点

北京交通大学 数字信号处理(DSP) 综合设计性实验报告 题目:任意信号发生器的设计 学院:电子信息工程学院 班级: 指导教师:钱满义 学生: 北京交通大学电工电子教学基地 2013年7月15日 目录

一、设计任务………………………………………………… 二、实验目的………………………………………………… 三、实验要求及目标………………………………………………… 四、实验原理………………………………………………… 五、程序代码及仿真结果………………………………………………… 1. 泰勒级数产生正弦波 2. 泰勒级数产生余弦波 3. 三角波 4. 方波 5. 锯齿波 六、实验总结………………………………………… 七、参考资料…………………………………………

一. 设计任务 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。信号发生器在现代工程中应用非常广泛。在实际中常需要产生一些特殊波形,用于仿真实际信号的波形,以检测和调试测量装置。 使用 DSP可以产生连续的正弦波信号,同样也能产生方波、锯齿波、三角波等其它各种信号波形。本设计要求采用DSP及其CODEC产生上述各种信号波形。 二. 实验目的 (1)了解产生信号的两种方法及各自的优缺点。 (2)掌握使用DSP产生正弦波的原理和算法,进而掌握一般信号产生的原理和方法。 (3)掌握5502DSK CODECC的工作原理和初始化过程。 三. 设计要求及目标 基本部分: 使用DSP产生300—4000HZ的正弦信号,要求使用计算法,并且频率可变、幅度可变、直流分量可变。

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

陈冲EDA课程设计_任意波形信号发生器

EDA课程设计 任 意 波 形 信 号 发 生 器

姓名: 陈冲 班级: 07通信工程 指导老师:孙惠章 目录 一.简述 (3) 二.设计性能要求 (3) 三.系统框图 (3) 四.系统电路图 (3) 五.基本工作原理 (4) 六. 单元电路模块源程序及功能 (5)

七.系统仿真波形 (10) 八.引脚锁定 (11) 九.实验结果及硬件验证 (11) 十.实验心得 (13) 任意波形信号发生器的设计 一.简述 随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作 用。采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga 设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性 和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏 功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的 波形。 二.设计性能要求 1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。 2.具有幅度和频率的调整。 3.单元电路模块使用VHDL语言编写。

三.系统框图 图1.任意波形信号发生器系统框图四.系统电路图

图2.任意波形信号发生器系统电路图 五.基本工作原理 将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。 选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。 对于频率的选择可以选择分频器,同时也可以选择计数器,本实验采用的是计数器以实现分频的效果,输出分别为二分频,四分频,八分频,十六分频用以实现不同的频率。幅度调节可以使用lpm_divide,可以实现八种不同的幅度调节。 六.单元电路模块源程序及功能 1.分频模块 以下为分频模块(CT74161)的VHDL语言编程源程序 LIBRARY IEEE;

课程设计——波形发生器

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

简易信号发生器和简易频率计

中原工学院 电子技术综合课程设计任务书

目录 第一张概述 (3) 第二章课程设计基本步骤和方法 (4) 第三章设计题目及内容 (6) 第四章心得体会 (16) 第五章主要设备及清单 (17) 第六章参考文献 (19) 第七章附录 (20)

概述 电子技术综合是高校电子类专业的重要技术课程,是继开设的“电子线路”、“数字电子技术”、“模拟电子技术”和“EDA”后的一门独立的课程,是加强学生专业实践,培养学生运用理论知识解决实践问题、训练科学实验能力和创新能力的主要环节。 在教师的指导下,学生通过综合运用所学知识,结合电子技术方面某一专题独立的开展电路的设计、安装与实验。其基本任务一方面是巩固模拟电子技术、数字电子技术课程及前期基础性试验的学习成果,两一方面是培养和训练学生的科学作风及其在电子技术方面的实践技能,提高学生综合运用电子技术知识解决实际问题的能力。 该课程是时间性非常强的课程,强调学生对电子技术的应用,运用所学的知识来解决实际的问题,学生通过熟悉设计任务、查找资料、设计电路、计算机仿真、安装调试和总结书写设计报告环节,学会自己分析、找出解决问题的方法;对设计中遇到的问题,能独立思考,查阅资料,寻找答案;掌握一些测试电路的基本方法,实践中出现一般故障,能通过“分析、观察、判断、实验、在判断”的基本方法独立解决;初步掌握电子工程设计的思路和方法,学习电子产品生产工艺的基本知识和基本操作技能,为将来能在工

作岗位上灵活运用所学的知识以及学习接受新的电子技术知识打下良好的基础。 第二章课程设计基本步骤和方法 1.方案设计 根据设计任务书给定的技术指标和条件,初步设计出完整的电路(预设计)。 主要任务是准备好实验文件,其中包括:划出方框图;画出构成框图的个单元的逻辑电路图;画出整体逻辑图;提出元器件清单;画出连接图。要完成这一阶段的任务,需要设计者进行反复思考,大量参阅文献和资料,将各种方案进行比较及可行性论证,然后才能将方案确定下来。具体步骤是: A明确带设计系统的总体方案;

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

相关主题
文本预览
相关文档 最新文档