当前位置:文档之家› 数字电路选择填空

数字电路选择填空

数字电路选择填空
数字电路选择填空

第一章

一、一、选择题

1.以下代码中为无权码的为 C 。

A . 8421BCD 码

B . 2421BCD 码

C . 余三码

2.以下代码中为恒权码的为 AB 。

A .8421BCD 码

B . 2421BCD 码

C . 余三码

3.一位十六进制数可以用 C 位二进制数来表示。

A . 1

B . 2

C . 4

D . 16

4.十进制数25用8421BCD 码表示为 B 。

A .10 101

B .0010 0101

C .100101

D .10101

5.在一个8位的存储单元中,能够存储的最大无符号整数是 CD 。

A .(256)10

B .(127)10

C .(FF )16

D .(255)10

6.与十进制数(53.5)10等值的数或代码为 ABCD 。

A .(0101 0011.0101)8421BCD

B .(35.8)16

C .(110101.1)2

D .(65.4)8

8.与八进制数(47.3)8等值的数为: A B

A. (100111.011)2

B.(27.6)16

C.(27.3 )16

D. (100111.11)2

9. 常用的B C D 码有 C D 。

A.奇偶校验码

B.格雷码

C.8421码

D.余三码 10.与模拟电路相比,数字电路主要的优点有 B C D 。

A.容易设计

B.通用性强

C.保密性好

D.抗干扰能力强

11. 以下表达式中符合逻辑运算法则的是 D 。

A.C ·C =C 2

B.1+1=10

C.0<1

D.A +1=1

12. 逻辑变量的取值1和0可以表示: ABCD 。

A.开关的闭合、断开

B.电位的高、低

C.真与假

D.电流的有、无

13. 当逻辑函数有n 个变量时,共有 D 个变量取值组合?

A. n

B. 2n

C. n 2

D. 2n

14. 逻辑函数的表示方法中具有唯一性的是 AD 。

A .真值表 B.表达式 C.逻辑图 D.卡诺图

15.F=A B +BD+CDE+A D= AC 。 A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++

A.B

B.A

C.B A ⊕

D. B A ⊕

17.求一个逻辑函数F 的对偶式,可将F 中的 ACD 。

A .“·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量

C.变量不变

D.常数中“0”换成“1”,“1”换成“0”

E.常数不变

18.A+BC= C 。

A .A +

B B.A +

C C.(A +B )(A +C ) D.B +C

19.在何种输入情况下,“与非”运算的结果是逻辑0。 D

A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

20.在何种输入情况下,“或非”运算的结果是逻辑0。 B C D

A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1

21. 逻辑函数)D C (BC AB F ++=,当ABCD 取值为( BD )时,F=1。

A. 0100

B.0111

C.0101

D.1100

二、二、填空题

1. 1. 数字信号的特点是在 时间 上和 幅值 上都是断续变化的,其高电平和低电平常用 1 和 0

来表示。

2. 2. 分析数字电路的主要工具是 逻辑代数 ,数字电路又称作 逻辑电路 。

3. 3. 在数字电路中,常用的计数制除十进制外,还有 2 、 8 、 16 。

4. 4. (10110010.1011)2=( 262.54 )8=( B2.B )16

5. 5. ( 35.4)8 =(11101.1)2 =( 29.5 )10=( 1D.8 )16=( 0010 1001.0100 )8421BCD

6. 6. (39.75 )10=( 100111.11 )2=( 4

7.6 )8=( 27.C )16

7. 7. ( 5E .C)16=( 1011110.11)2=( 136.6 )8=( 94.75 )10= (1001 0100.0111 0101 )8421BCD

8. 8. ( 0111 1000)8421BCD =( 1001110 )2=( 116 )8=( 78 )10=( 4E )16

10. 逻辑代数又称为 布尔 代数。最基本的逻辑关系有 与、或、非 三种。常用的几种导出的逻辑运算为与非 或非 与或非 同或 异或。

11. 逻辑函数的常用表示方法有逻辑表达式 、 真值表 、 逻辑图。

12. 逻辑代数中与普通代数相似的定律有交换律 分配律 结合律。摩根定律又称为 反演定律 。

13. 逻辑代数的三个重要规则是代入规则 对偶规则 反演规则。

14.逻辑函数F=A +B+C D 的反函数F = A B (C+D ) 。

15.逻辑函数F=A (B+C )·1的对偶函数是 A+BC+0 。

16.添加项公式AB+A C+BC=AB+A C 的对偶式为(A+B )(A +C)(B+C )=(A+B )(A +C ) 。

17.逻辑函数F=A B C D +A+B+C+D= 1 。

18.逻辑函数F=AB B A B A B A +++= 0 。

19.已知某函数的对偶式为B A +BC D C +,则它的原函数为)C B ()D C (B A +?+?+。

20. 己知某组合电路的输入A 、B 与输出Y 的波形关系如下,则Y 和A 、B 的逻辑关系是 A ⊕B 。

21.逻辑函数F (A,B,C,)的卡诺图如图1-1所示,则该函数标准与或式F(A,B,C,)= ∑),,,(m 7520和最简与或表达

式F(A,B,C,)= A C +AC ,最简与非-与非表达式为F =AC C A ?,最简或与表达式为F =(A +C )(A +C ),最简或非-或非表达式为F =)C A ()C A (+++;并在最简与或表达式的基础上分别用反演规则和对偶规则直接写出 F = (A+C)(A +C ) 和F ’ =(A +C ) (A+C)。

第二章

一、选择题

1. 三态门输出高阻状态时, ABD 是正确的说法。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动

2. 以下电路中可以实现“线与”功能的有 CD 。

A.与非门

B.三态输出门

C.集电极开路门

D.漏极开路门

3.以下电路中常用于总线应用的有 A 。

A.T S L 门

B.O C 门

C. 漏极开路门

D.C M O S 与非门

4.逻辑表达式Y =A B 可以用 C D 实现。

A.正或门

B.正非门

C.正与门

D.负或门 5.T T L 电路在正逻辑系统中,以下各种输入中 A B C 相当于输入

逻辑“1”。

A.悬空

B.通过电阻 2.7k Ω接电源

C.通过电阻 2.7k Ω接地

D.通过电阻510Ω接地

6.对于T T L 与非门闲置输入端的处理,可以 A B D 。

A.接电源

B.通过电阻3k Ω接电源

C.接地

D.与有用输入

端并联

7.要使T T L 与非门工作在转折区,可使输入端对地外接电阻R I C 。

A.>R O N

B.<R O F F

C.R O F F <R I <R O N

D.>R O F F

8.如右图所示电路输出信号的逻辑表达式可转换成: C 。 A 、AB+CD B 、ABCD C 、CD AB + D 、

9、以下电路中可以实现“线与”功能的有 BC 。

A 、传输门

B 、集电极开路门

C 、漏极开路门

D 、三态门。

第三章

一、选择题 1.若在编码器中有50个编码对象,则要求输出二进制代码位数为 B 位。

A.5

B.6

C.10

D.50

2.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。

A.1

B.2

C.4

D.16

3.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01

4.一个8选一数据选择器的数据输入端有 E 个。

A.1

B.2

C.3

D.4

E.8

5.在下列逻辑电路中,不是组合逻辑电路的有 D 。

A.译码器

B.编码器

C.全加器

D.寄存器

6.八路数据分配器,其地址输入端有 C 个。

A.1

B.2

C.3

D.4

E.8

7.以下电路中,加以适当辅助门电路, B 适于实现单输出组合逻辑电路。

A.二进制译码器

B.数据选择器

C.数值比较器

D.七段显示译码器

8.用四选一数据选择器实现函数Y =0101A A A A +,应使 A 。

A.D 0=D 2=0,D 1=D 3=1

B.D 0=D 2=1,D 1=D 3=0

C.D =D =0,D =D =1

D.D =D =1,D =D =0

A.用与非门,Y =765410

B.用与门,Y =32

C.用或门,Y =32Y Y +

D.用或门,Y =7

65410Y Y Y Y Y Y +++++

第四章

一、选择题

1.N 个触发器可以构成能寄存 B 位二进制数码的寄存器。

A.2N

B.N

C. 2N -1

D.2N

2.在下列触发器中,有约束条件的是 C 。

A.主从J K F /F

B.主从D F /F

C.同步R S F /F

D.边沿D F /F

3.一个触发器可记录一位二进制代码,它有 C 个稳态。

A.0

B.1

C.2

D.3

E.4

4.存储8位二进制信息要 D 个触发器。

A.2

B.3

C.4

D.8

5.对于T 触发器,若原态Q n =0,欲使新态Q n +1=1,应使输入T = B D 。

A.0

B.1

C.Q

D.Q

6.对于T 触发器,若原态Q n =1,欲使新态Q n +1=1,应使输入T = A C 。

A.0

B.1

C.Q

D.Q

7.对于D 触发器,欲使Q n +1=Q n ,应使输入D = C 。

A.0

B.1

C.Q

D.Q

8.对于J K 触发器,若J =K ,则可完成 C 触发器的逻辑功能。

A.R S

B.D

C.T

D.T ˊ

9.欲使J K 触发器按Q n +1=Q n 工作,可使J K 触发器的输入端 A B D E 。

A.J =K =0

B.J =Q ,K =Q

C.J =Q ,K =Q

D.J =Q ,K =0

E.J =0,K =Q

10.欲使J K 触发器按Q n +1=Q n 工作,可使J K 触发器的输入端 A C E 。

A.J =K =1

B.J =Q ,K =Q

C.J =Q ,K =Q

D.J =Q ,K =1

E.J =1,K =Q

11.欲使J K 触发器按Q n +1=0工作,可使J K 触发器的输入端 B C D 。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=1

D.J=0,K=1

E.J=K=1

12.欲使J K触发器按Q n+1=1工作,可使J K触发器的输入端B C E。

A.J=K=1

B.J=1,K=0

C.J=K=Q

D.J=K=0

E.J=Q,K=0

13.欲使D触发器按Q n+1=Q n工作,应使输入D=D。

A.0

B.1

C.Q

D.Q

15.下列触发器中,没有约束条件的是D。

A.基本R S触发器

B.主从R S触发器

C.同步R S触发器

D.边沿D触发器

17.为实现将J K触发器转换为D触发器,应使A。

A.J=D,K=D

B.K=D,J=D

C.J=K=D

D.J=K=D

二、填空题

1.触发器有2个稳态,存储8位二进制信息要8个触发器。

2.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S= 0 且R=0的信号。

Q,定义触发器的1状态为Q=1 Q=0 ,0状态为Q=0 Q=1,3.触发器有两个互补的输出端Q、

可见触发器的状态指的是Q端的状态。

4.一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是

R S=0。

5.在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方

式为主从式式或边沿式式的触发器不会出现这种现象。

第五章

一、选择题

1.下列逻辑电路中为时序逻辑电路的是C。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

2.N个触发器可以构成最大计数长度(进制数)为D的计数器。

A.N

B.2N

C.N2

D.2N

3.N个触发器可以构成能寄存B位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

4.同步时序电路和异步时序电路比较,其差异在于后者B。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

5.一位8421B C D码计数器至少需要B个触发器。

A.3

B.4

C.5

D.10

6.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计

数器,最少应使用B级触发器。

A.2

B.3

C.4

D.8

7.3位移位寄存器,串行输入时经C个脉冲后,3位数码全部移入寄存器中。

A.1

B.2

C.3

D.4

8.用二进制计数器从0做加法计数,计到十进制数178,则最少需要 D 个触发器。

A.2

B.6

C.7

D.8

E.10

9.若用J K 触发器来实现特性方程为

AB Q A Q n 1n +=+,则J K 端的方程为 A B 。 A.J =A B ,K =B A + B.J =A B ,K =B A C.J =B A +,K =A B D.J =B A ,K =A B

10.若四位同步二进制加法计数器的初始状态为Q 3Q 2Q 1Q 0=1100,则经过200个脉冲后,它的状态为 D 。

A. 0001

B.0110

C.1010

D.0100

二、填空题

1.寄存器按照功能不同可分为两类:移位 寄存器和 数码 寄存器。

2.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路 。

3.由四位移位寄存器构成的顺序脉冲发生器可产生 4 个顺序脉冲。

4.时序逻辑电路按照其触发器是否有统一的时钟控制分为 同步 时序电路和 异步 时序电路。

第七章

一、选择题

1.某ROM 有8根数据线,4根地址线,则其存储容量为( B )。

A 、32 Byte

B 、128bit

C 、16bit

D 、64Byte

2. 某存储器芯片的容量为16KB ,则其地址线和数据线的根数分别为(A )。

A.14和8

B. 16和8

C. 15和4

D. 16和4

3、存储容量的扩展有两种方式:( C )

A 、串行扩展方式和并行扩展方式

B 、同步扩展方式和异扩展方式

C 、位扩展方式和字扩展方式

D 、直接扩展方式和间接扩展方式

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

华师网络学院作业答案-数字电路分析题(20210117015613)

TTL电路及输入A、B、C波形如图所示,写出其输出逻辑表达式,并画输出丫的波形图电路及输入、、波形如图所示,写出输出逻辑表达式,并画出输出丫的波形图。 ---- s H L Y A B C 答案: 解:骗出迸辑表达式匕Y = A^B-C = ABC 输出波 B ------------------ C ---------------------- TTL电路及输入A、B波形如图所示,写出其输出逻辑表达式,并画输出& & >1 r 答案:丫的波形图。 C

解:输出逻辑表达式=Y = + = + C 输出波形图;+ A B C Y 如图所示电路是边沿 D 触发器,要求:(1)写出触发器的次态逻辑表达式; (2)给出CP 和A 的波形如下,画出触发 器的状态波形。设触发器初始状态为 0。 答案: 駆动方程:D = A 次态逻辑表达式’ = 融发器状态波骸(餌丄有效2 译码器74LS138和与非门构成的逻辑电路如图所示。请写出最简的输出逻辑表达式。 答案 : TOYI 囊岳爲 T5TO T7 毘一

解:输出逻辑表达式:F 二乔石?脊石* 化简;a y 二叮歼?热月二托+此+為+岭 =2方F+丄丽+屈C+HEC 二 BC C A ^A )-^-AC (豆+月)二託*)0 同步十六进制计数器 74LS161构成电路如下图所示。要求:画出电路的状态转换图,说明该电路的逻辑功能。 答案: 解:1 ?电路的状态转换圈狀 Q^QiQiQ^ 0000 T0001 T OQIO T0011 T 0100 — 0101 t 0110 T J noo looo ^OIH (:在状态为1100时?£D = 0P 置数为00(W ) 2.电路的逻辑功旨上是;十三进制加袪计数器卩 分析如图所示电路,要求:(1)写出输出 Y 的逻辑表达式;(2)由逻辑表达式列写真值表; (3)说明电路功 能。 答案 : Q3 QI QQ CO CTp 74LS151 I D CP D3 D2 DI DD CF 一 E I}

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分,共20分) 1、十六进制数(8F)16对应的十进制数是( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为( D) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要有一个输入端为高电平 C、所有输入端都是低电平 D、所有输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1=Qn,则输入信号应为(A) A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1 6、要使T触发器Qn+1=Qn ,则(B) A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32 三、简答题(本大题共2小题,每小题5分,共10分) 1、数字电路从整体上看可分为几大类? 答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分) (2)、按结构工艺分,有TTL、CMOS集成电路。(2分) 2、最简与-或表达式的标准是什么? 答:(1)、包含的与项最少;(3分) (2)、每个与项中变量的个数最少。(2分) 四、分析计算题(本大题共6小题,每小题10分,共60分) 1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。

数字电路复习题(含标准答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000) 2依次加 1的所有3位二进制数:000、001、010、011、100、101、110、111 。 2.13=(1101)2;(5A )16=(1011010)2;(10001100)2=(8C )16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式: =1 ; = B ; =A+B ; =B A +。 4.含用触发器的数字电路属于时序逻辑电路 (组合逻辑电路、时序逻辑电路)。TTL 、CMOS 电路中,工作电压为5V 的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有 1 、0 、高阻 态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有 0 个稳定状态。 8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是 移位寄存器 , 是 同步 时序电路(填同步还是异步),当R D =1时,Q 0Q 1Q 2Q 3= 0000 ,当R D =0,D I =1,当第二个CP 脉冲到来后,Q 0Q 1Q 2Q 3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A .(747.2)16 B .(1E7.2)16 C .(3D7.1) 16 D .(F31.2) 16 2.和逻辑式B A C B AC ++相等的式子是( A ) R CP

A.AC+B B. BC C.B D.BC A 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有两个稳定状态、有两个不同的触发电平,具有回差特性。多谐振荡器没有

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1

9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。 A. AC AB F += B. C B AB F += C. AC B A F += D. AC B A F += 10. 要实现n n Q Q =+1,JK 触发器的J 、K 取值应为( )。 A J=K=0 B J=K=1 C J=0 K=1 11. 可以用来实现并/串转换和串/并转换的器件是( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是( ) A. RS 触发器 B. T 触发器 C. JK 触发器 D. Tˊ触发器 13. 某逻辑电路输入A 、B 和输出Y 的波形如图2所示,则此电路实现的逻辑功能是( ) A. 与非 B. 或非 C. 异或 D. 异或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是( ) A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为( ) A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、 填空题

数字电子技术基础—试题—解答

三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 1、Y=A+B 2、用卡诺图法化简为最简或与式Y= + C +A D, 约束条件:A C + A CD+AB=0 2、用卡诺图圈0的方法可得:Y=(+D)(A+ )(+ ) 四、分析下列电路。(每题6分,共12分) 1、写出如图4所示电路的真值表及最简逻辑表达式。 图4 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。 2、写出如图5所示电路的最简逻辑表达式。

2、 B =1,Y = A , B =0 Y 呈高阻态。 五、判断如图6所示电路的逻辑功能。若已知u B =-20V,设二极管为理想二极管,试根据u A 输入波形,画出u 0 的输出波形(8分) t 图6 五、u 0 = u A · u B ,输出波形u 0 如图10所示: 图10 六、用如图7所示的8选1数据选择器CT74LS151实现下列函数。(8分) Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14)

图7 答: 七、用4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR为异步复位端)。(10分) 图8 七、接线如图12所示: 图12 全状态转换图如图13 所示: (a )

(b ) 图13 八、电路如图9所示,试写出电路的激励方程,状态转移方程,求出Z 1 、Z 2 、Z 3 的输出逻辑表达式,并画出在CP脉冲作用下,Q 0 、Q 1 、Z 1 、Z 2 、Z 3 的输出波形。 (设Q 0 、Q 1 的初态为0。)(12分) 八、,,波形如图14所示: 三、将下列函数化简为最简与或表达式(本题10分) 1. (代数法) 2、F 2 (A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法) 三、1. 2.

数字电路考题和答案解析

浙江理工大学2005-2006学年《脉冲与数字电路》期末考试(A) 专业:自动化04()姓名:________学号得分:_______ 一、填空(共20分,1分/空) 1、将二进制数(1010101.0011)2分别转换成下列进制数:十进制数; 八进制数;十六进制数。 2、TTL集成电路中多发射极输入级既完成了的逻辑功能,又提高了电路 的。 3、已知CD =,其反函数的最简与或表达式 L+ A B 为。 4、要组成容量为16K×32位的ROM,需要片容量为4K×8位的 ROM。 5、在下列JK触发器、RS 触发器、D触发器和T触发器四种触发器中,同时具有保持、置1、置0和翻转功能的触发器是。 6、逻辑函数式A A⊕等于。 7、寄存器按照功能不同可分为两类:只读寄存器和随机寄存器。 8、常见的脉冲产生电路有,常见的脉冲整形电 路、。 9、一个基本RS触发器在正常工作时,它的约束条件是1= R,则它不允许输入= S +S 且= R的信号。 10.常用的BCD码有、、、

等。 二、判断题(10分,1分/题) 1、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 2、数电技术中用的8421码不是恒权码。() 3、逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。() 4、TTL与非门的多余输入端可以接固定高电平。() 5、一般TTL门电路的输出端可以直接相连,实现线与。() 6、卡诺图是用图形来描述逻辑函数的一种方法。() 7、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。() 8、环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。() 9、施密特触发器有两个稳定的状态,但这两个状态依懒于输入信号的幅值。() 10、ROM存储器中的信息只能读出不能写入。

(完整版)数字电路期末复习试题和答案解析

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电路练习题

数字电路练习题 一 填空题: 1.逻辑函数Y AB C =+表示成最小项表达式( )。 2.将2004个“1”异或起来得到的结果是( )。 3.半导体存储器的结构主要包含三个部分,分别是( )、( )、( )。 4.由555定时器构成的三种电路中,( )和( )是脉冲的整形电路。 5.逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 6.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是( )电路和( )电路。 7.施密特触发器有( )个稳定状态.,多谐振荡器有( )个稳定状态。 8. 在室温下,TTL 门电路输出高电平为 V ,阈值电压为 V ,输出低电平 V 。CMOS 门电路输出高电平可接近 ,输出电电平接近 V 9. 触发器按电路结构可分为 、 和 ; 10. 组合逻辑电路产生竞争冒险的内因是 ; 11. 三位二进制减法计数器的初始状态为101,四个CP 脉冲后它的状态为 ; 12. 如图1所示,A=0时,Y= ;A=1,B=0时,Y= ; 13 C A AB Y +=,Y 的最简与或式为 ; 14. 如图2所示为TTL 的TSL 门电路,EN=0时,Y 为 ,EN=1时,Y= ; 15. 触发器按逻辑功能可分为RS 、JK 、 、 和D ; 16 四位二进制减法计数器的初始状态为0011,四个CP 脉冲后它的状态为 ; 17. 数字系统中常用的各种数字部件,就其结构和工作原理而言可分为两大类, 即 和 。

二 选择题 1.十进制数3.625的二进制数和8421BCD 码分别为( ) A . 11.11 和11.001 B .11.101 和0011.011000100101 C .11.01 和11.011000100101 D .11.101 和11.101 2.下列几种说法中错误的是( ) A .任何逻辑函数都可以用卡诺图表示。 B .逻辑函数的卡诺图是唯一的。 C .同一个卡诺图化简结果可能不是唯一的。 D .卡诺图中1的个数和0的个数相同。 3.和TTL 电路相比,CMOS 电路最突出的优点在于( ) A .可靠性高 B .抗干扰能力强 C .速度快 D .功耗低 4.为了把串行输入的数据转换为并行输出的数据,可以使用( ) A .寄存器 B .移位寄存器 C .计数器 D .存储器 5.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值 6.为了提高多谐振荡器频率的稳定性,最有效的方法是( ) A .提高电容、电阻的精度 B .提高电源的稳定度 C .采用石英晶体振荡器 C .保持环境温度不变 7.已知时钟脉冲频率为f cp ,欲得到频率为0.2f cp 的矩形波应采用( ) A .五进制计数器 B .五位二进制计数器 C .单稳态触发器 C .多谐振荡器 8.在图3用555定时器组成的施密特触发电路中,它的回差电压等于( ) A .5V B .2V C .4V D .3V υ图3 9. 用卡诺图法化简函数F (ABCD )=∑m (0,2,3,4,6,11,12)+∑d (8,9,10,13,14,15)得最简与-或 式________。 A. BC B F += B. C B D A F ++= C. C B D F += D. A B CD F ++= 10. 逻辑函数F 1、F 2、F 3的卡诺图如图4所示,他们之间的逻辑关系是 。 A .F 3=F 1?F 2 B .F 3=F 1+F 2 C .F 2=F 1?F 3 D .F 2=F 1+F 3 图4

数字电路试题五套(含答案)汇总

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM ,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。

二、化简(每小题5分,共20分) 1、公式法化简 ++++ (1)Y=ABC ABC BC BC A =+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形 (10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) r C Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端; C :位输出端;

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A .5 B .6 C .10 D .50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A .译码器 B .编码器 C .全加器 D .寄存器 7、欲使JK 触发器按01=+n Q 工作,可使JK 触发器的输入端 。 ( ) A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 ( ) A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路题库

试卷A 一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内,每小题2分,共20分) 1.将十进制数(18)10转换成八进制数是 [ ] ① 20 ② 22 ③ 21 ④ 23 2. 三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 [ ] ① m2 ② m5 ③ m3 ④ m7 3.一片64k ×8存储容量的只读存储器(ROM ),有 [ ] ①64条地址线和8条数据线 ②64条地址线和16条数据线 ③16条地址线和8条数据线 ④16条地址线和16条数据线 4.下列关于TTL 与非门的输出电阻描述中,正确的是 [ ] ①门开态时输出电阻比关态时大 ②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大 ④两种状态都没有输出电阻 5.以下各种ADC 中,转换速度最慢的是 [ ] ① 并联比较型 ② 逐次逼进型 ③ 双积分型 ④ 以上各型速度相同 6. 关于PAL 器件与或阵列说法正确的是 [ ] ① 只有与阵列可编程 ② 都是可编程的③ 只有或阵列可编程 ④ 都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为 [ ] ① 无穷大 ② 约100欧姆 ③ 无穷小 ④ 约10欧姆 8.通常DAC 中的输出端运算放大器作用是 [ ] ① 倒相 ② 放大③ 积分 ④ 求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是 [ ] ① 16 ② 32 ③ 162 ④ 216 10.一个64选1的数据选择器有( )个选择控制信号输入端。 [ ] ① 6 ② 16 ③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1.已知一个四变量的逻辑函数的标准最小项表示为()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=, 那么用最小项标准表示 =*F ,以及=F ,使用最大项标准表示 =F ,以及=F 。 2.具有典型实用意义的可编程逻辑器件包括 , , , 。 3.为了构成4K ×16bit 的RAM ,需要 块1K ×8bit 的RAM ,地址线的 高 位作为地址译码的输入,地址译码使用的是 译码器。 4.在AD 的量化中,最小量化单位为Δ,如果使用四舍五入法,最大量化误差为 Δ,如果使用舍去小数法,最大量化误差为 Δ。 5.如果用J-K 触发器来实现T 触发器功能,则T,J,K 三者关系为 ;如果要用J-K 触发器来实现D 触发器功能,则D,J,K 三者关系为 。 三、 简答题(每小题5分,共10分) 1.用基本公式和定理证明下列等式: ()ABC BC A C AB B C AB ++=+ 2.给出J-K 触发器的特征方程,状态转移真值表,状态转移图。 四、 分析题(25分) 1.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图4.1所示电路输出端F 的最简与或形式的表达式。(9分) 表4.1 CC4512功能表

数字电路分析与设计题目

第二章(选择、判断共19题) 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.测量电阻指针不动 B.用电压表测量指针不动 C.电压不高不低 D.相当于悬空 2. 以下电路中可以实现“线与”功能的有。 A.三态输出门 B.与非门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.与有用输入端并联 D.接地6.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻510Ω接地 D.通过电阻 2.7kΩ接地 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 9.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 二、判断题(正确打√,错误的打×) 1.三态门的三种状态分别为:高电平、低电平、不高不低的电压。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.一般TTL门电路的输出端可以直接相连,实现线与。() 6.TTL与非门的多余输入端可以接固定高电平。() 7.CMOS或非门与TTL或非门的逻辑功能完全相同。() 8.TTL集电极开路门输出为1时由外接电源和电阻提供输出电流。()

数字电路期末复习题0

标准 第一套 一、选择题(本大题共10道小题,每小题2分,共20分。) 1. 用编码器对16个信号进行编码,其输出二进制代码的位数是( ) A .2位 B .3位 C .4位 D .16位 2. 逻辑函数F=(A+B)(B+C )的对偶式F ′=( ) A .B A +B C B .AB+B C C . B A +C D .AB+B C 3.一个8选一数据选择器的地址输入端有_______个。( ) A .1 B .2 C .3 D .4 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A .没有触发器 B .没有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 5. 如下图所示电路中,只有______不能实现Q n+1 =n Q 。( ) 6.下列各函数等式中无冒险现象的函数式有( ) A .F= F=C B +AC+A B+BC+A B +C A B .F=C A +BC+A B C .F=A C +BC+A B +A B D .C B +AC+A B 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A .J=K=0 B .J=K=1 C .J =O ,K =1 D .J=1,K=0 8. 下列电路中,不属于组合逻辑电路的是( ) A .编码器 B .全加器 C .寄存器 D .译码器 9. 可以用来实现并/串转换和串/并转换的器件是( ) A .计数器 B .全加器 C .移位寄存器 D .存储器 10. 自动产生矩形波脉冲信号为( ) A .施密特触发器 B .单稳态触发器 C .T 触发器 D .多谐振荡器

数字电路期末复习题

数字电子电路复习练习题 一、填空题 1.半导体具有三种特性,即:热敏性、光敏性和_________性。 2.集电极反向饱和电流I CBO是指发射极_________时,集电极与基极之间加反向电压时测得的集电极电流,良好的三极管该值较_________。 3.逻辑函数的反演规则指出,对于任意一个函数F,如果将式中所有的_________互换,_________互换,_________互换,就得到F的反函数?F。 4.格雷码又称________码,其特点是任意两个相邻的代码中有_______位二进制数位不同。 5.从TTL反相器的输入伏安特性可以知道两个重要参数,它们是____________和____________。 6.输出n位代码的二进制编码器,一般有__________个输入信号端。 7.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 8.时序电路除了包含组合电路外,还必须包含具有记忆功能的_________电路。因此,仅用一般的逻辑函数描述时序电路的逻辑功能是不够的,必须引进_________ 变量。 9.要使触发器实现异步复位功能(Q n+1=0),应使异步控制信号(低电平有效)?R D=___________,?S D=___________。 10.JK触发器当J=K=________时,触发器Q n+1=?Q n。 11.n位二进制加法计数器有_________个状态,最大计数值为_________。 12.用555定时器构成的单稳态触发器,若充放电回路中的电阻、电容分别用R、C 表示,则该单稳态触发器形成的脉冲宽度t w≈____________。 13.施密特触发器具有两个_________状态,当输出发生正跳变和负跳变时所对应的_________电压是不同的。 14.组成ROM电路中的输出缓冲器一般由三态门组成,其作用一是实现对输出状态的______________控制,二是提高带负载能力。 15.当RAM的字数够用、位数不够用时,应扩展位数。其方法是将各片RAM的____________端、R/?W端和CS端并联起来即可。 二、选择题 1.与晶体三极管组成的电路相比,MOS管组成电路的主要特点是_________ 。 a.电流控制;b.输入电阻高;c.带负载能力强

数字电路题库最终版

数字电路题库最终版

第1章数字电路基础 一.填空: 1.数制是用一组固定的() 和一套统一的()来表 示数目的方法。 2.进制计数制的两个要素 是()和()。 3.二进制数转换成八进制 数时,应将()位二进 制数分为一组,代表() 位八进制数。分组时整 数部分从()至()。4.二进制数转换成十六进 制数时,应将()位二 进制数分为一组,代表 ()位十六进制数。分 组时整数部分从()至 ()。 5.常用二-十进制编码有 ()、()、()、()、()。 6.二-十进制编码分为有 权码和无权码,其中()、

()、()是有权码,()、 ()是无权码。 7.逻辑函数的三种基本运 算是()、()、()。 8.所谓的真值表就是将逻 辑变量的各种()取值 的组合及其相应的() 值列成的表格。 9.与运算的规则是(),逻 辑表达式为(),逻辑符 号为()。 10.或运算的规则是(),逻 辑表达式为(),逻辑符 号为()。 11.逻辑函数的五种表示方 法是()、()、()、()、 ()。 12.逻辑函数的表达式不是 唯一的,可以相互转换, 表达式有()、()、()、 ()、()。其中最基本表 达式是()。

13.逻辑函数的三个基本规 则是()、()、()。14.对偶规则求的是原函数 的()式,当两个函数 相等时,其()也相等。 15.代数化简法的四种基本 方法是()、()、()、()。 16.最小项是包括所有变量 的()项,对于n个变 量的函数,共有()个 最小项。 17.对于一个n个变量的函 数,每个最小项有() 个最小项与之相邻。 18.全体最小项之和为()。 19.卡诺图也叫()方格图。 具有()性和()性。 20.4个1方格的卡诺圈可 以消去()个变量。二.数制转换: 1.将十进制数159转换为二进制、八进制、十六

数字电路复习题一简答题

一、简答题 1、什么是触发器的空翻现象?简述造成空翻现象的原因。 2、简述时序逻辑电路分析的步骤。 3、最小项的性质。 4、组合电路产生竞争冒险的原因及常用的消除竞争冒险的方法。 5、简述时序逻辑电路与组合逻辑电路的异同。 6、简述触发器的基本性质。 7、逻辑函数的表示方法有哪几种? 8、反演定律和对偶定律(答案见课本27页) 9、计数器的分类 简答题参考答案 1、答:如果在一个时钟脉冲的高电平作用下,触发器的状态发生了两次或两次以上的翻 转,这叫做“空翻”。 由于是电平触发,在CP=1期间,数据输入端如果连续发生变化,触发器也连续随着变化,直到CP由1变0才停止,造成空翻现象的原因是触发器电平触发。 2、答:(1)观察电路,确定电路类型;是同步时序电路还是异步时序电路;是Mealy型时序电路还是Moore型时序电路。 (2)根据电路写出各触发器驱动方程及时钟方程(即各触发器的CP信号表达式,如果是同步时序电路,则可不写时钟方程(因为每个触发器均接同一个脉冲源,来一个时钟脉冲,每个触发器同时变化)。 Q的逻辑表(3)将各触发器的驱动方程带入触发器的特性方程,写出各个触发器次态1 n 达式(即状态方程)。 (4)根据电路写出输出逻辑表达式(输出方程)。 (5)推出时序逻辑电路的状态转换真值表、状态转换图及时序图(又称波形图)。 (6)总结和概括这个时序电路的逻辑功能。 3、答:(1)任何一组变量取值下,只有一个最小项的对应值为1; (2)任何两个不同的最小项的乘积为0; (3)任何一组变量取值下,全体最小项之和为1。 4.答:在组合电路中,当逻辑门有两个互补输入信号同时向相反状态变化时,输出端可 能产生过渡干扰脉冲的现象。常用的消除竞争冒险的方法有:输入端加滤波电 容、加封锁或选通脉冲、修改逻辑设计等。 5、答:时序逻辑电路是一种任意时刻的输出不仅取决于该时刻电路的输入,而且还与电路 过去的输入有关的逻辑电路。因此,时序逻辑电路必须具备输入信号的存储电路,以便此信号在下一时刻其作用。组合逻辑电路在某一时刻的输出只取决于该时刻逻辑电路的输出,与过去的历史情况无关。因此,不需用存储电路记忆过去的输入,只有门电路就可构成。 6、答:每个触发器有两个互非的输出端Q和Q,且有以下两个基本性质: (1)触发器有两个稳定的工作状态

相关主题
文本预览
相关文档 最新文档