当前位置:文档之家› 多路彩灯控制器程序设计

多路彩灯控制器程序设计

多路彩灯控制器程序设计
多路彩灯控制器程序设计

湖南工程学院

课程设计

课程名称数字电子技术课程设计

课题名称多路彩灯控制器

专业电气工程及其自动化

班级

学号

姓名

指导教师康迎曦

2012年11 月 2 日

湖南工程学院

课程设计任务书

课程名称: 数字电子技术

题目: 多路彩灯控制器

专业班级:

学生姓名: 学号: 指导老师: 康迎曦

审批:

任务书下达日期2012年10月22日星期一

设计完成日期2012年11月2日星期五

目录

第一章系统总体设计 (7)

1.1设计总体思路 (7)

1.2基本原理 (7)

1.3设计框图 (8)

1.4总电路图 (8)

第二章单元电路设计 (9)

2.1各芯片组成及功能 (9)

2.1.1 74LS163芯片 (9)

2.1.2 74LS194芯片 (10)

2.1.3 74LS20芯片 (11)

2.1.4 74LS32芯片 (11)

2.1.5 74LS04芯片 (12)

2.2分频控制电路 (12)

2.3循环控制电路 (13)

2.4四路彩灯输出电路 (13)

第三章安装与调试 (14)

第四章故障分析和电路改进 (14)

4.1彩灯只有一种花样变化,没有其它的花样 (14)

4.2彩灯无规律变化 (14)

4.3彩灯在两次循环中间有段时间彩灯不亮 (15)

第五章总结与体会 (15)

附录 (16)

元器件清单: (16)

参考文献 (17)

电气与信息工程系课程设计评分表 (18)

第一章系统总体设计

1.1设计总体思路

据任务书要求,彩灯显示要分为三个节拍在第一节拍时,从左边第一个彩灯到第四个彩灯依次亮起,即四路输出Q1至Q4依次为1。在第二个节拍里,彩灯从右至左依次熄灭,即四路输出Q4至Q1依次为0。第三个节拍时四彩灯同亮同暗两次,即彩灯同时输出“1”﹑“0”两次。根据其亮灭持续时间为1秒的要求,可以确定需要一个脉冲发生器,并要求其周期为1s,即频率为1Hz。

1.2基本原理

根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍,而且要以相同频率控制CLR’。可以用一个16进制计数器产生脉冲信号,一路送到控制12进制的计数器,一路经逻辑电路送到移位寄存器。

题目要求三个节拍,设计为一个分频器和一个计数器单元。则按照要求设计为计数器计三次数一循环。即计数器计三次数,每次为四秒,然后计数器清零循环。于是设计为一个分频器,使用74LS163对秒脉冲进行四分频,然后输入到计数器中。而计数器使用74LS163,其初始值为0。那么从分频器中来到第三个脉冲时对计数器清零。即计数器的循环计的数为0000B、0001B、0010B。使用到的器件为:74LS163和74LS20。

其次,对于位移器按照题目要求:在第一节拍时,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,闪亮两次。首先向右移,并且一直向右移1。然后是向左移,同理一直向左移1。最后要求值四次数,值数D0~D3分为1111、0000、1111、0000。值数的间隔为一秒。根据要求,采用74LS194作为位移器。第一节拍时,右移:S0和S1分别接入1和0。第二节拍时,左移:S0和S1分别接入0和1。第三节拍时,值数S0和S1分别接入1和1。而在计数器上对应输出

的数分别为00、01、10。根据提供器件和要求,则用一些门电路组成一个计数器输出数的加1的加法器。使用到器件为:一片74LS194、一个非门、一个或门和与非门。

1.3设计框图

图1.3 设 计 框 图

1.4总电路图

图1.4 总 电 路 图

第二章单元电路设计

2.1各芯片组成及功能

2.1.1 74LS163芯片

74LS163是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能。

图2.1.1(1)74LS163管脚图

图2.1.1(2)74LS163功能表

从74LS163功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS163输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS163还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS163可以组成16进制以下的任意进制分频

器。

2.1.2 74LS194芯片

此单元用于彩灯的置数,当脉冲变化时,确保置数以实现彩灯的控制。

图2.1.2(1)74LS194管脚图

图2.1.2(2)74LS194功能表

74194的工作方式控制端132M Q Q =+,032M Q Q =+。在第一节拍中,1001M M =,74194

实现右移功能,即在时钟脉冲作用下,把1SR D =逐次移进;在第二节拍中,1010M M =,74194实现左移功能,即在时钟脉冲作用下,把0SR D =逐次反方向移进。由于前两个节拍中30Q =,门G 关闭,输出为0,因此四路彩灯的输出a b c d A B C D Q Q Q Q Q Q Q Q =。在第三节拍中,1010M M =,74194仍然左移,A B C D Q Q Q Q 一直保持为0000。此时31Q =,门G 打开,时钟脉冲CP 同时加到四个输出端a b c d Q Q Q Q ,由于CP 是1Hz 秒脉冲,在1s 时间内高电平和低电平持续时间均为1s ,因此a b c d Q Q Q Q 实现同时亮1s 、同时灭1s ,在4s 内共进行2次。利用194实现左右移动。

图2.1.3(1)74LS20管脚图

图2.1.3(2)74LS20功能表

2.1.4 74LS32芯片

图2.1.4(1)74LS32管脚图

图2.1.4(2)74LS32真值表

图2.1.5(1)74LS04管脚图

图2.1.5(2)74LS04真值表2.2分频控制电路

图2.2 分频电路图

2.3循环控制电路

图2.3 分频电路图2.4四路彩灯输出电路

图2.4 彩灯输出电路图

第三章 安装与调试

第一,对工具和元件等仔细的检查,看是否有损坏或缺失。了解试验箱的个功能模块。

对于要使用的模块检查是否有损坏,要求熟悉掌握要使用的功能模块。检查各元器件引脚是否缺失或损坏,对所有的导线进行检测,剔除以坏了的导线。对所有领取的器件进行整理。

第一,对工具和元件等仔细的检查,看是否有损坏或缺失。了解试验箱的个功能模块。

对于要使用的模块检查是否有损坏,要求熟悉掌握要使用的功能模块。检查各元器件引脚是否缺失或损坏,对所有的导线进行检测,剔除以坏了的导线。对所有领取的器件进行整理。

第二,检测完元器件后,对各小的模块的安装位置合理把握安排。合理安排各元器件安装的位置,导线安插的位置。然后开始安装芯片及连线,要按照先小模块、后大模块再整体的原则进行安装调试。首先可连接秒脉冲模块,安装完毕后利用发光二极管进行检查。然后安装分频模块,同样利用发光二极管进行检查。再对循环模块进行连接,也可以将Q3~Q0连接到二极管进行检查电路连接的正确性。最后连接好最为重要的循环位移模块。对于循环右移模块的连接可先只连接好位移的部分,检查一个周期内是否正常。再连接加1加法器部分和计数器的清零连接。

由于面包板和线路的老化,导致接触不良的问题,所以在连接的时候一定要做到接好一个模块检查好一个模块。切勿整体连接好后在来查看效果。最后连接好后,检查是否完成预期的要求。重新断电后,再打开,看是否仍正常。

第四,完成接线并实现所有的功能后,找老师检查验收。老师验收检查正常完成后,就可以拆除芯片与导线了。在拆除芯片时一定小心。切不可使用蛮力拔除,以防止弄断芯片的引 脚,整理好所有器件后归还.

第四章 故障分析和电路改进

4.1彩灯只有一种花样变化,没有其它的花样

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12

进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。

4.2彩灯无规律变化

原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计数器的CP 脉冲是否稳定,看74LS161是否能计数,即Q A 、Q B 、Q C 、Q D 是否周期性的高低电平变化,前面没问题,

再检查与非门74LS20和非门74LS04是否能实现它们逻辑功能。

4.3彩灯在两次循环中间有段时间彩灯不亮

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。应该检查74LS20是否正常工作,确保能实现12进制计数。

第五章总结与体会

紧张而充实的两周数字电子课程设计转眼就结束了,只有从开始找资料到最后课题验收每个环节都认真参与之后才能够真正体会到它的意义和乐趣。这两周来的生活和学习,我感觉收获颇多,感受颇多。刚开始的时候,拿到题目就一直在想这个题目真难。但是设计总得完成啊,我和同组同学一起去网上,书上资料,经过我们不懈努力。终于把设计的框图完成了。接下来就是设计电路了,依样画葫芦,同样去网上,书上搜索找各芯片的功能。终于把电路设计好了。然后领试验箱接线,接线看起来简单。其实其中要很多耐心。

从前的学习过程过于浮浅,只是流于表面的理解,现在要做课程设计,就不得不要求我们对所用到的知识有更深层次的理解。因为课程设计的内容比及书本中的理论知识而言,更接近于现实生活,而理论到实践的转化往往是一个艰难的过程,它犹如一只拦路虎,横更在我的面前。但是我毫不畏惧,因为我相信我们能行。

做好图后我们领了导线、元器件以及一些工具,我们开始接线,第一次接线我们是对照原理图,整个图接完后再看调试的结果,但是,在调试检查时我们出问题了,我们接了好久的线,眼看最后一步完成了,结果就要出来了,结果在接通电源灯那一刹那,我发现我们的灯并没有按我们的想法亮起。于是,我们只好拆线从新找问题。

经过这次课程设计让我明白了我们的动手能力还有待提高,本来本科生大部分学的就是理论知识,动手能力比较差。从这次我们重新接一次线就可以看出,我们动手能力还不够仔细,认真,沉着。其实在这两周的收获中,我认为收获最大的就是让我们明白了,当我们面对一个问题时,知道了该怎么去分析问题,然后解决问题的方法。也是她让我知道,任何一种小小的成绩后面,也许就隐藏着许许多多不为人的艰辛. 所以我们应该用沉着,认真,仔细来接待以后的每一次动手。

附录

元器件清单:

74LS194 1片

74LS163 1片

74LS20 1片

74LS04 1片

74LS32 1片

室验台1台

导线若干

参考文献

1.《电子技术基础实验与仿真》

中南大学出版社--------------孙胜麟郭照南主编2.《电子系统设计》

高等教育出版社--------------马建国主编3.《电子技术基础实验》

高等教育出版社---------------陈大钦主编4.《电子技术课程设计指导》

高教出版社---------------------彭介华主编

5.《数字电子技术基础》

高等教育出版社---------------阎石主编6.《电子技术实验与课程设计》

石油大学出版社--------------刘润华主编

电气与信息工程系课程设计评分表

指导教师签名:________________

日期:________________

注:①表中标*号项目是硬件制作或软件编程类课题必填内容;

②此表装订在课程设计说明书的最后一页。课程设计说明书装订顺序:封面、任务书、目录、正文、评分表、附件(非16K大小的图纸及程序清单)。

多路彩灯控制器.

电子技术课程设计 --- 多路彩灯控制器 学院:电子信息工程学院 学号:200615010217 指导教 师:祁艳杰2008-12-17

多路彩灯控制器 目录 设计目的 设计任务与要求 三、总体方案的设计与选择 1 、总体方案的设计 2 、总体方案的选择 四、使用元件及元件说明 五、单元电路的设计 1 、花型演示电路 2 、花型控制信号电路 3 、快慢节奏控制电路 4 、时钟信号控制电路 六、总体电路图 七、电路组装、调试过程中遇到的问题及 解决办法 八、分析与心得

、设计目的: 1、进一步掌握数字电路课程所学的理论知识。 2、了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3、熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4.培养认真严谨的工作作风和实事求是的工作态度。 二、设计任务与要求 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1、自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3、分别用快慢两种节拍实现花型变换。 三、总体方案的设计与选择 1、总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制; 第三块实现时钟信号的产生。 结构框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块 实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并 在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2 .总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错,且可能出现线与 线关系。要避免这些,则势必造成门电路使用过多,导致电路不稳定,抗干扰能 力下降。 而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制采用了没有学过的器件,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单,过度过程可能会出现乱码。 基于以上原因,加上为了成功的实现课程设计,我选择了连线少,易于组装和调试的方案二。 四、使用元件 1.设计所需的元件:

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

彩灯控制器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:彩灯控制器综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08223 作者姓名:王磊 指导教师姓名:崔瑞雪 完成时间:2010.12.01

课程设计任务书

内容摘要 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 关键词: VHDL,彩灯,仿真,控制

目录 一、设计要求 (1) 二、实验方案 (1) 三、实验原理 (1) 四、设计模块 (1) 五、程序仿真调试 (2) 六、程序下载与实现 (2) 七、实验结果分析 (3) 八、心得体会 (3) 参考文献 (4) 附录 (4)

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

VHDL课程设计多路彩灯控制器

湖南科技大学 信息与电气工程学院 《课程设计报告》 题目: 专业: 班级: 姓名: 学号: 指导教师: 年月日

信息与电气工程学院 课程设计任务书 2014 —2015 学年第一学期专业:学号:姓名: 课程设计名称: 设计题目: 完成期限:自年月日至年月日共周 设计依据、要求及主要内容(可另加附页): 指导教师(签字): 批准日期:年月日

目录 一、实验设计的目的 (4) 二、设计内容 (4) Ⅰ、设计的工具 (4) Ⅱ、系统设计要求 (4) III、系统设计方案 (4) 三、电路工作原理 (4) 四、主要程序及仿真结果 (5) Ⅰ、时序部分主要程序如下: (5) Ⅱ、时序控制电路的仿真波形如图: (6) 五、对本次设计的体会和建议 (7) 参考文献 (7)

一、实验设计的目的 本设计的任务是让我们熟悉支持VHDL语言的软件,如Max Plus 2,ISP,FOUNDATION等,利用这一类软件使用VHDL语言进行设计编译仿真。本次设计的主要目的: 1、熟练掌握了相关软件Max Plus 2的使用操作。能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。 2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。 3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。本次设计课题为多路彩灯控制器。 4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。 二、设计内容 Ⅰ、设计的工具 电脑一台,maxplusⅡ仿真软件,关于VHDL课程设计的相关书籍若干。 Ⅱ、系统设计要求 设计一个多路彩灯控制器,能循环变化花型,可清零,可选择变化节奏。 彩灯控制器有16路发光二极管构成,当控制器开关打开时,能够在6种不同彩灯花型之间进行循环变化。 要求控制器具备复位清零功能,一旦复位信号有效,不论控制器花型变化处于何种状态都会无条件即刻清零,回复到初始状态。 设置节拍选择按钮。按下此按钮,多路彩灯控制器的花型变化的节奏减缓;放开次按钮,则节奏相对加快。 III、系统设计方案 根据系统设计要求可知,整个系统共有三个输入信号,分别为控制器快慢节奏控制信号opt、复位清零信号clr和时钟脉冲信号clk,输出信号则是16路彩灯的输出状态led[15...0]。 多路彩灯控制器可由两个主要的电路模块组成:时序控制电路模块和显示控制电路模块。时序控制电路根据输入信号的设置得到相应的输出信号,并将此信号作为显示控制电路的时钟信号;显示控制电路根据输入时钟信号的周期,有规律地输出预先设定的6种彩灯花型,从而使得多路彩灯控制器在一定的输入条件下提供符合设计要求的有效输出。本设计主要研究时序控制电路。 三、电路工作原理 多路彩灯控制器的实现基础是时序控制电路和显示1控制电路的设计,时序控制电路的模块框图如图2所示。其中,clk为输入时钟信号,电路在时钟上升沿发生变化;clr为复位清零信号,高电平有效,且一旦该信号有效,电路无条件复位为初始状态;opt为快慢节奏选择信号,低电平时节奏快,高电平时节奏慢;clkout为输出信号,当clr信号有效时clkout输出为0,否则,clkout的周期随opt信号的改变而改变。 我们假设时序电路产生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟信号可以通过对输入时钟的计数来获得。当opt为低电平时,输出经过两个时钟周期进行翻转,实现四分频的快节奏;当opt为高电平时,输出每经过四个时周期进行翻转,实现八分频的慢节奏。

多路彩灯控制器课程设计

一、课程设计题目:多路彩灯 二、任务和要求: 任务:设计一个多路彩灯控制器,能控制8路彩灯,彩灯用发光二极管模拟。 要求:能演示至少3种花型,花型自拟。 彩灯明暗变换节拍为1.0s和2.0s,两种节拍交替运行。 目的:(1).掌握移位寄存器的移位,置位功能。 (2).掌握TTL集成电路驱动发光二极管的设计方法。 (3).熟悉中、小规模数字集成电路芯片,掌握基本数字电路设计方法, 通过实践提高数字电路连接、调试能力。 三、器件 四、总体方案 方案一:

模块图如下(箭头示数据流的方向和内容): 分析:此方案设计花型种类多,实现简单,使用的芯片数少,但后三种花型变化简单。 方案二: 共设计3种花型,每种花型均有16种状态,花型3的前8种状态和后8

其模块图如下: 分析:此方案花型变化多样,但电路复杂,使用的芯片数多,花型少。 总结:方案一电路简单,所使用的芯片数目少,而且花型种类多,在实现分频 时,二使用了74LS74和74LS00,而方案一只用了一片74就实现了。在数据选择模块,方案一用了一片151就可实现节拍的选择。而方案二电路复杂,花型少。故选择方案一。 五、 单元电路设计: 选择方案一,实现电路简单,共使用了9片芯片。 (一)脉冲发生电路 脉冲发生电路使用了555脉冲发生器和若干器件组成。具体参数:555芯片一片,R1为4.7,R2为150 ,C1为0.01uf ,C2为4.7uf ,电源电压为5V 。 其电路图如下: S1,S0(控制信息);清零信号

其波型为5V的方波。 (二)脉冲分频电路 脉冲分频电路是由555提供脉冲,由74LS74实现分频。其电路图为: 555电路和分频出的波形分别如下: (三)脉冲选择电路 脉冲选择电路由一片74LS151组成,并有计数电路的控制,每32个脉冲数据选择变化一次,及选择CP信号或分频的信号。其电路如图:

多路彩灯控制器.

电子技术课程设计 ------多路彩灯控制器 学院:电子信息工程学院 学号:200615010217 指导教师:祁艳杰 2008-12-17 多路彩灯控制器 目录

一、设计目的 二、设计任务与要求 三、总体方案的设计与选择 1、总体方案的设计 2、总体方案的选择 四、使用元件及元件说明 五、单元电路的设计 1、花型演示电路 2、花型控制信号电路 3、快慢节奏控制电路 4、时钟信号控制电路 六、总体电路图 七、电路组装、调试过程中遇到的问题及 解决办法 八、分析与心得 一、设计目的: 1、进一步掌握数字电路课程所学的理论知识。 2、了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3、熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设

计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计任务与要求 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1、自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3、分别用快慢两种节拍实现花型变换。 三、总体方案的设计与选择 1、总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 结构框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并 在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

彩灯控制器设计 数电课程设计

彩 灯 控 制 器 的 设 计 专业:YYYYYYYYYYYYY 学号:YYYYYYYYYYYYy 姓名:YYY

目录 一、实验目的 (3) 二、设计题目与思路 (3) 三、总体方案的设计与选择 (3) 1、总体方案的设计 (3) 2、总体方案的选择 (4) 四、单元电路的设计 (5) 1、花型演示电路 (5) 2、花型控制信号电路 (5) 3、交替节拍控制电路 (6) 4、时钟信号电路 (7) 五、总体电路图(见附页) (7) 六、使用元件 (9) 七、电路组装、调试过程中遇到的问题及解决办法 (9) 八、分析与心得 (10)

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编辑逻辑器件实现。 三、总体方案的设计与选择 1.总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下:Array 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型

的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2.总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与线关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。 而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。 基于以上原因,加上为了成功的实现课程设计,我选择了连线少,易于组装和调试的方案二。 四、单元电路的设计 1.花型演示电路 由二片移位寄存器194级联实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。 电路图如下:

五彩灯控制器

课程设计报告 课程名称:技能课课程设计 系部: 专业班级: 学号: 学生姓名: 指导教师: 完成时间: 2018.12.15 报告成绩: 1、问题分析 (1) 2、总体设计思想 (2) 3.具体实现方法 (3) 4.硬件连线图及流程图 (4) 5.主程序的流程图 (5)

四、PROTEUS 调试过程及现象 (6) 五、调试问题及解决方法 (10) 六、源程序 (10) 七、心得体会 (13) 八、参考文献 (13) 一、设计课题及要求 1、课题内容: 五彩灯以其流光溢彩的效果而广泛用于广告宣传和装饰美化方面。本设计要求用51单片机控制八只霓虹灯 L1~L8,使其按以下九种状态循环显示。 2、动作要求: 状态1:L1~L8依次点亮至全亮。 状态2:L8~L1依次熄灭至全灭。 状态3:L8~L1依次点亮至全亮。 状态4:L1~L8依次熄灭至全灭。 状态5:L1~L8依次轮流点亮。 状态6:L8~L1依次轮流点亮。 状态7:由中间向两边呈发散式点亮。 状态8:由两边向中间呈收拢式点亮。 状态9:全亮全灭闪烁3次。 按下停止键,灯全熄灭。 二、设计目的: 通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。 三、具体设计内容:

1、问题分析: 该彩灯控制器是一种基于AT89C51 单片机的彩灯控制器,实现对LED 彩灯的控制。本方案以AT89C51 单片机作为主控核心,与键盘、显示等模块组成核心主控制模块。在主控模块上设有5 个按键和1 位七段码LED 显示器,根据用户需要可以编写若干种亮灯模式,根据各种亮灯时间的不同需要,利用软件产生的延时来控制在不同时刻输出灯亮或灯灭的控制信号,然后驱动灯亮或灭。该新型LED 彩灯与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2、总体设计思想: 五彩灯分为2 部分,即彩灯控制器<主控模块)和显示模块<受控模块)。整个系统工作由软件程序控制运行,根据需要,用户可以在LED 彩灯工作时通过主控模块上的按键来控制LED 彩灯的不同的点亮模式。上电后系统经过初始化,直接进入一号功能键模式。再等待按键输入控制信号控制中断的来临并扫描按键进入中断子服务程序实现1 键、2 键、3 键、4 键和5 键的各种功能。LED 彩灯控制器按照程序设定好的按下不同按键实现不同的5 个亮灯花样模式并显示按下的是几号功能键。该系统中用了两个中断,其中前面四个功能共用一个外部INTT0 则5 号功能通过外部中断INTT1 来实现。七段码LED 显示器用来显示当前是几号键已经按下,这两个功能都是通过查询而进入不同的中断子程序。在硬件上单片机选用MSC-51 系列的80C51,P2 口输出控制信号控制LED 灯的亮或灭,单片机的串行输出接上74HC164 转换成并行输出来控制七段码LED显示器。

彩灯控制器的设计

彩灯控制器的设计

一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟;

4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。这样设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。 且可能出现线与关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。 基于以上原因,加上为了确保短时间内完成课程设计,我选择了连线少,易于组装和调试的方案二。 四单元电路的设计

多路彩灯控制器课程设计FPGA CPLD

专业班级学号姓名成绩 多路彩灯控制器 一、实验目的 1.通过实验初步了解EDA的作用。 2..熟悉ISdesign EXPERT System软件的使用方法,使自己能更加熟练的操作. 增强自己实际动手能力,独立解决问题的能力. 二、实验仪器 计算机,EDA试验箱,ISdesign EXPERT System软件,下载线。 三、设计要求 设计一个彩灯控制器,使彩灯(LED管)能连续发出6种以上不同的显示型式;具有六种花型循环变化,整个系统共有3个输入信号;控制彩灯节奏快慢的基准时钟信号CLK-IN,系统清零信号CLK,彩灯节奏快慢选择开关CHOSE_KEY;共有16个输出信号LED[15,0]分别用于控制十六路彩灯。 四、设计方案 我们用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。工作原理:整个系统共有三个输入信号CP、S和K,十六路输出信号。时钟信号CP由外部输入到节拍发生器,节拍选择信号S先输入到控制器,再由控制器输出选择控制信号Y到节拍发生器,随时控制快慢节拍的转换。节拍发生器产生的节拍信号分别输出到控制器、编码电路和驱动电路。编码电路输出反馈信号给控制器,控制器输出信号控制编码电路的各个子模块交替工作,产生六种花型,再由驱动电路将信号输出到彩灯。K为清零信号,由外部输入到控制器,K=0时,系统回到等待状态,彩灯全灭;K=1时,系统工作。 结构框图如图8-5所示。

五、源程序 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity caideng is port( clk: in std_logic; clr: in std_logic; led: out std_logic_vector( 7 downto 0)); end caideng; architecture Behave of caideng is signal cnt: std_logic_vector( 3 downto 0);

数字逻辑课程设计报告多路彩灯控制器

数字逻辑课程设计报告 ——多路彩灯控制器 学院名称:电子工程学院 学生姓名:崔欢(13)

专业名称:集成电路设计与集成系统 班级:电路1102 实习时间:2013年6月3日——2013年6月14日 多路彩灯控制器的设计 一、课程设计题目(与实习目的) (一)、题目:多路彩灯控制器 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.锻炼动手能力,排错能力。 二、任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求

设计一个8路移存型彩灯控制器,基本要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 选做:实现快慢两种节拍的变换。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的设计 整体电路分为四个模块,第一个模块时钟信号的产生;第二个模块实现节拍控制;第三个模块实现花型的控制;第四个模块实现实现花型的演示。 这种方案将整个设计电路的功能模块化,设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。这么设计的出发点是:电路设计模块化,易于检查电路,对后面的电路组装及电路调试都很方便,花型控制电路简单,花型也比较简单。 主体框图如下: 时钟信号CP电路:用555芯片产生CP脉冲。

多路彩灯控制器

郑州科技学院 《数字电子技术》课程设计 题目流水彩灯控制器____ 学生姓名xx 专业班级xx 学号xx 院(系)电气工程学院 指导教师xx 完成时间2013年12月27日

郑州科技学院 数字电子技术课程设计任务书 专业自动化班级一班学号xx姓名xx 一、设计题目流水彩灯控制器 二、设计任务与要求 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上的输出。 三、参考文献 [1] 江晓安,董秀峰. 数字电子技术. 西安:西安电子科技大学出版社,2008 [2] 王毓银. 脉冲与数字电路(第3版). 北京:高等教育出版社,1999 [3] 谢自美. 电子线路设计、实验、测试,第二版. 武汉:华中科技大学出版社,2000 [4] 陈明义. 电子技术课程设计实用教程. 长沙:中南大学出版社,2010 四、设计时间 2013 年12 月23 日至2013 年12 月27 日 指导教师签名: 专业负责人签名: 年月日

目录 1实验目的 (1) 2 设计要求及原理 (1) 3 设计方案 (2) 3.1 振荡电路 (2) 3.2 计数器/译码分配器 (3) 4 主要元件介绍 (3) 4.1 555定时器 (3) 4.2CD4017 (5) 4.3 显示电路设计 (7) 5 具体设计过程 (7) 5.1 用555定时器组成多谐振荡器 (7) 5.2CD4017与发光二极管组成的显示电路图 (8) 5.3电路总图 (9) 6 调试与故障分析 (9) 7 总结 (10) 8 参考文献 (12) 附录1 (13) 附录2 (14) 附录3 (15)

多路彩灯控制器及其VHDL实现

摘要:本文基于分频器和有限状态机设计了可选择节拍、具有六种循环变换花 型功能的多路彩灯控制器,并通过VHDL将其实现。通过Modelsim得到了仿真波形,达到了预期的要求和功能。 目录 一、背景介绍 (2) 二、设计要求 (2) 三、设计方案 (2) 四、方案程序设计 (2) 4.1 时序控制模块(SXKZ)程序 (3) 4.1.1 T触发器单元程序 (3) 4.1.2 多路选择器单元程序 (3) 4.1.3 总的设计程序 (4) 4.2 显示控制模块(XSKZ)程序 (5) 4.3 多路彩灯控制器的顶层模块程序 (6) 4.4 测试平台(TESTBENCH)程序 (7) 五、仿真结果及分析 (8) 5.1 多路彩灯控制器的RTL级 (8) 5.2 多路彩灯控制器TECHNOLOGY图 (9) 5.3 Modelsim仿真波形图 (10) 六、设计的亮点 (10)

一、背景介绍 在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(Electronic Design Automatic)技术的应用引起电子产品及系统开发的革命性变革。 VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。整个过程通过EDA 工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。 因而我们对此十分感兴趣,希望借助我们学过的VHDL语言并通过学习相关知识能够进行简单的循环彩灯设计。 二、设计要求 设计一个16路彩灯控制器,六种花样循环变化,有清零开关(即复位功能),并且可以选择快慢两种节拍。 三、设计方案 对设计要求进行分析可知,整个系统共需三个输入信号,即控制彩灯节奏的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节奏快慢选择开关CHOOSE_KEY;应共有16个输出信号,分别用来控制16路彩灯。 我们这里将整个彩灯控制器分为两大部分:时序控制电路SXKZ,用于产生节奏控制信号,选择产生基准频率的1/4和1/8的时钟信号来改变节奏;显示控制电路XSKZ生成变化的花样信号。 四、方案程序设计 基于前面叙述的设计方案,VHDL程序也分为两个主要的模块:时序控制模块SXKZ和显示控制模块XSKZ。

8路彩灯控制器

8路彩灯控制器 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1.彩灯实现快慢两种节拍的变换; 2.8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下:

多路彩灯控制器的设计之EDA课程设计

多路彩灯控制器的设计 [摘要]:当今时代科技发展日异月新,彩灯作为一种景观应用越来越多。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。本次设计是十六路彩灯控制器,现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计十六路彩灯控制器的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。同时也提高了设计的灵活性、可靠性和可扩展性,为大学生更好地认识社会提供了很好的机会。 [关键词]:电子设计自动化(EDA);VHDL;彩灯控制器;

目录 1 设计方案 (1) 1.1 方案介绍 (1) 1.2 方案分析 (1) 2 模块设计及其功能 (2) 2.1 模块功能描述 (2) 2.2 时序控制模块 (2) 2.3 显示控制模块 (4) 3 十六路彩灯控制系统的实现 (8) 3.1 整体功能描述 (8) 3.2 设计原理 (8) 3.3 程序编译与仿真 (11) 4 总结与体会 (13) 附录源程序: (15)

1 设计方案 1.1 方案介绍 用VHDL语言设计了一个十六路彩灯控制器,能够在六种不同的彩灯花型之间进行循环变化,并可设置花型变化的节奏,且可进行复位。本控制电路采用VHDL语言设计,运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,将整个控制器分为两个部分,分别为时序控制模块和显示控制模块。时序控制模块实现的功能是产生1\4和1\8的时钟信号。显示控制模块中实现的六种花型分别为:"1100001111000011" "1111110000111111" "1010101010101010" "1010110000110101" "1011011011011011" "1110000011100000" 整个电路仅有时序控制和显示控制两个模块。 1.2 方案分析 此方案由时序控制电路和显示控制电路组成,电路组成较简单。且检查电路时易于分析修改,虽然原理相对复杂,但单元电路模块少,而且方案程序编写简单易懂,并能够灵活地添加或删减程序实现多种花型的变化,有很大的灵活性。因此,选择了此方案进行进一步的设计。

基于FPGA的多路彩灯控制器的设计

FPGA课程设计报告 学院: 信息工程学院 班级:信息12级--1班 姓名:牛月太 学号: 1267118130 完成时间:2015年7月13日 21世纪,电子技术迅猛发展,高薪技术日新月异。传统的设计方法正逐步退出历史的舞台,取而代之的是基于EDA技术的芯片设计技术,它正在成为电子系统设计的主流。大规模可编程器件现场可编程门阵列FPGA和复杂可编程逻辑器件CPLD是当今应用最广泛的两类可编程专用集成电路。

近年来,EDA技术高速发展使现代电子产品向着功能多样化,体积最小化,功耗最低化的方向发展。它与传统电子产品在设计上的显著优势就是:第一大量使用大规模可编程逻辑器件,以提高产品性能,缩小产品体积,降低功耗。第二是广泛运用现代化计算机技术,以提高电子设计自动化程度,缩短开发周期,提高产品的竞争力。所以掌握这方面的应用极其重要,本题目就是基于FPGA这一技术完成实现的。 众所周知,彩灯、流水灯、装饰灯等在日常生活和商业都有极其广泛的应用。具有很高的商业价值和研究价值。而对于越来越变化多端要求极高的灯饰行业,相对传统的单片机来说,FPGA的性价比越来越高,功能强大,能轻松的完成对彩灯的控制。 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。而在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,VHDL 语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和FPGA芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件使得EDA技术的应用走向普及。FPGA是新型的可编程逻辑器件,采用FPGA进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。

8路彩灯控制器的设计

电子技术(下)课程设计任务书

目录 摘要 (1) 1 绪论 (2) 2 总体方案设计 (3) 2.1 振荡电路部分 (3) 2.2 数列循环部分 (3) 2.3 数列显示部分 (3) 3 单元模块设计 (4) 3.1 脉冲信号产生模块 (6) 3.2 快慢节拍控制电路模块 (7) 3.3 计数电路模块 (8) 3.4 花型控制电路模块 (9) 4 系统调试和功能 (36) 4.1 系统调试 (36) 4.2 功能显示 (37) 5 分析和总结 (43) 附录一:工具清单 (37) 附录二:元器件清单 (37) 附录三:主要元器件的引脚图和功能表 (37)

摘要 通过设计多路彩灯系统来加深对主要器件的理解与应用。本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。 关键词:振荡电路;脉冲信号;分频电路;计数电路;花环电路;彩灯; Abstract Through the design of many changing lights to deepen our understanding and application of many main parts of integrated circuit. This experiment produce seconds pulse signal mainly by the oscillating circuit .And through the points frequency circuit, count circuit, control and display circuit patterns to output by the lights. Through the design of the system, the selection, the parameters of the component selection, etc, to deepen our understanding of the main device pins distribution and function, so as to improve the overall level of electronic design ability. Key words:Oscillating circuit; The pulse signal; Points frequency circuit; Counts circuit; Garland circuit; Lights;

相关主题
文本预览
相关文档 最新文档