当前位置:文档之家› 模拟集成电路原理及应用题

模拟集成电路原理及应用题

模拟集成电路原理及应用题
模拟集成电路原理及应用题

模拟集成电路原理与应用试题库

一.填空题▲

1、增强型MOSFET的工作特征中,当V GS>V T和0<V DS<(V GS-V T)时,工作于区,i D受

和的控制。

2、常用MOS单元电路有:电流源电路.基本放大电路和等。电流源电路是利用i D的微小变化

可引起的特点制成阻值很大的交流电阻,作为差动放大器的进而得到很

大的共模抑制比。

3、MOS模拟集成电路中的基本单元有、和MOS输出级电路。

4.MOS集成运算放大器的基本应用有反相放大电路、电路、电路、和电路等。理想运放工作于线性状态时,为分析方便,输入端近似看成和。

5、CMOS开关电路是由NMOS和组合而成,他克服了NMOS模拟开关电路R ON随vi的增大而的缺点,扩大了输入信号范围。

6、利用集成运放进行信号的放大、、减法、和积分运算的电路称为放大器的

应用,而能完成信号的比较、乘法、和产生各种波形的电路称为放大器的非线性应用。

7、利用MOSFET的开关特性,可有模拟开关的四种基本应用,即单刀单掷、、

和.

8、集成电压比较器用于比较相对大小的电路,是一种模拟输入、的

模拟电路采用高增益的集成运放可用来比较信号。

9、直接采用集成电压比较器,能获得更高的,而且使用更为方便,集成电压比较器

已成为模拟集成电路中的重要。

10、变换电路属于非线性变换电路,其传输函数随输入信号的、频率或改变而变,使输出信号波形不同于波形。

11、利用集成运算放大器或专用模拟集成电路,配以少量的外接元件可以构成各种类型的信号

发生器和具有各种功能的变换电路,信号发生器分为正弦波和非正弦波两大类。

12.模拟集成电路构成的正弦波发生器,工作频率多是在1MH z以下,其电路的组成通常由工作

在线性放大状态的和及三部分构成,选用不同移相选频网

络便构成不同类型的正弦波发生器。

13、非正弦波发生器通常由运放构成的(又称斯密特触发器)和有源或无源积分器电

路构成,不同形式的便构成各种不同类型的非正弦波发生器。

14、三角波发生器通常由运放构成的和有源或无源积分电路等组成。改变

值,可以改变三角波和方波的幅值。

15、用集成运放构成的单稳或双稳触发器,温度稳定性好,脉宽调节范围大,调试简单方便,常用

于脉冲整形,定时及延时电路。

16、运放组成的双稳态触发器实际上是由具有二极管双向限幅的构成当无触发脉

冲时,电路处于某一状态。

17、VFC是变换电路,即它的输出信号频率与输入信号成正比,故又称

为。

18、VFC主要由积分器、自动复位开关电路组成,专用模拟集成VFC转换器,其

性能稳定、灵敏度高、小。各种类型的VFC主要区别在于复位方法和复位时间不同而已。

19、绝对值变换电路又称、其输出电压等于绝对值。采用绝对值电路能把双极

性输入信号变成信号。其组成是在线性检波器的基础上加一级电路。如要

改变输出电压的极性只需将电路中的对调即可。

20、定时电路又称为,它是一种将模拟电路和制作在同一硅片上的新

颖的模拟集成电路。以其独特的优点取代传统的机械式。

21、目前国内外生产的定时电路主要分为定时器和两大类。定时电路以单定

时 和双定时556电路、四定时电路 电路为主。

22、NE55定时器的内部结构主要是由三个5K 电阻组成的 分压器、两个高精度 和一个 及放电三极管和功率输出级等组成。

23、“555”定时器的阈值电压u 6>32V CC ,触发输入电压u 2>3

1

V CC 时,输出状态为 ,

放电三极管 。555定时器有 态 、 态和 态三种基本工作方式。

24、锁相环电路是相位同步的 技术,它能使一个自激振器的振荡频率和相位受 使振荡信号和基准信号在频率上 保持某一固定的 。

25、频率合成器能将一个高精度和高稳定度的标准频率经过 、 、 、 运算产生同样精度和稳定度的大量 。

26.锁相环路内部由 、 和 三部分组成.当△ω较大时,处于 失锁状态,ωi =ω0时,锁相环路处于 状态。

27、模拟乘法器可完成两个不 信号的 过程.从而利用它可实现信号的调制 变频和 。

28、模拟集成乘法器在运算电路中的应用有乘法与平方运算、 与 、 和函数发生器电路等。

29、模拟集成乘法器在信号处理方面常有信号的调制与解调、混频与 、自动增益控制电路、及压控三角与方波发生器的应用。

30、开关电容是受 信号控制的开关与电容组成的电路他利用电容器电荷的 与

原理来实现电路功能。

31、开关电容电路由MOS 电容、 、MOS 运算放大器和 等组成。

二、选择题▲

1、MOSFET 的工作特性是指

1)伏安特性;2)背栅特性;3)亚阈区特性;4)三者都是。 2、CMOS 集成运算放大器是指

1)NMOS 集成运放;2)PMOS 集成运放 3)直流放大器;4)NMOS 、PMOS 的组合。 3、5G7560高增益放大电路能够放大的信号有

1)仅是交流信号;2)仅是直流信号;3)交直流小信号;4)功率信号。 4 、单窗孔比较器电路的作用是

1)比较直流信号;2)比较交流信号;3)显示被比较信号的电压范围;4)反映信号的大小。 5、MOSFET 开关得导通电阻Ron

1)为一定值;2)随传输信号的改变而变化;3)与传输信号的流入方向有关;4)与电源的电压有关。

6、C544开关在一片集成芯片上有 完全相同的单元。 1)2个;2)4 个;3)6个;4)8个。

7、模拟信号的逻辑传输是指

1)基本开关单元电路;2)模拟信号的与、或逻辑传输;3)多路模拟开关;4)组合开关。 8、程控增益放大器的总增益Av 是

1)Av=1;2)Av = C 0C 1C 2C 3;3)大小取决于电路的结构;4)大小取决于负载的大小。 9、CC4051的开关通道号取决于

1)电路的结构;2)由控制信号决定;3)传输信号的极性;4)电源电压。 10、文氏桥式正弦波发生器的输出频率由 决定。

1)选频网络的结构;2)选频网络的参数;3)电路的放大倍数;4、电容器的大小。

11、正弦波发生器产生的波形频率和幅值

1)不能同时改变;2)可以自动改变;3)电路参数决定;4)电阻大小决定。

12、正交信号发生器产生的是

1)三角波;2)方波;3)正弦和余弦波;4)脉冲波。

13、方波发生器的运放工作在

1)线性放大状态;2)非线性比较状态;3)直流放大状态。;4)交流放大状态。

14、集成运放组成的检波电路

1)只需要二极管;2)不需要二极管;3)只需要电容器;4)需要二极管和电阻的配合。

15、V/I变换是指

1)电压信号变换成电流信号;2)电压电流同时改变;3)电流变换成电压信号;4)电压、电流互相改变。

16、电压/频率变换是指

1)已知电压求频率;2)已知频率求电压;3)将电压信号变换成频率信号;4)电压频率互相改变。

17、S/H电路是指

1)采样/保持电路;2)峰值检出电路;3)级间反馈电路;4)定时电路。

18、“555”定时器工作在单稳态工作方式时

1)定时时间与电源电压有关;2)不需触发信号有关;3)需有触发信号;4)电压决定定时时间。

19、NE555的管脚有

1)8个;2)12个;3)4个;4)由封装形式决定。

20、 NE555电源电压范围是

1)5V以下;2)5—12V;3)4.5—18V;4)不限制。

21、NE555在方式下时相当于RS触发器。

1)单稳态; 2)双稳态;3)无稳态; 4)都不是。

22、数控脉冲发生器又称。

1)数字频率转换器;2)数字发生器;3)压控振荡器;4)频率发生器。

23 、脉冲调制器可由代替。

1)频率转换器;2)定时器工作在单稳态方式;3)调频电路;4)压控振荡器。

24、模拟集成乘法器的工作象限有

1)1个;2)2个;3)4个;4)不定。

25、模拟集成乘法器的传输特性中

1)模拟集成乘法器是线性元件;2)模拟集成乘法器非线性元件;3)即是线性也是非线性;4)都不是。

26、模拟集成乘法器的相乘增益

1)有量纲;2)无量纲;3)电压;4)1/V。

27、模拟集成乘法器在运算电路中的应用时

1)需要运算放大器配合;2)不需要其它元件配合;3)只需运放即可;4)视运算内容决定。

28、抑载双边带调制是指

1)普通调幅;2)调频调制;3)只发送边带信号,不发送载波;4)全发射。

29、积分式调频振荡器中有

1)2个积分电路、2个乘法器电阻电容等;2)1个积分电路、1个乘法器和电阻、电容等;

3)只有乘法器和电容即可;4)不一定。

30、集成锁相环路基本组成部分中,鉴相器实际是

1)乘法器;2)比较器;3)振荡器;4)放大器。

31、集成锁相环路基本组成部分中,环路滤波器符号是

1)PD;2)LF;3)VCO;4)SCH。

32、当锁相环路鉴相器输出差拍信号时

1)说明差频较小;2)说明差频较大;3)说明失锁状态;4)不一定。

33、锁相环的压控振荡器输出频率与输入频率接近相等时

1)锁定状态;2)捕捉状态;3)跟踪状态;4)失锁状态。

34、固定前置分频式的输出频率

1)较高;2)较低;3)取决于输入频率;4)取决于频率代码。

35、三环式频率合成器中有。

1)混频器;2)带通滤波器;3)带通滤波器和混频器;4)不一定。

36、常用的频率合成器的主要结构形式有

1)直接;2)间接;3)直接、间接都有;4)很多种。

37、集成稳压器按调整工作状态分:有种形式。

1)三种;2)两种;3)多种;4)4种。

38、集成稳压器的电压调整率是指

1)输入电压高低的变化;2)输出电压的改变量;3)输出输入电压的差值;4)输出电压的高低变化。

39、开关稳压器的效率较线性稳压器

1)高;2)低;3)负载决定;4)相等。

40、MOS工艺开关电容的组成部分有

1)电容器、运放、开关;2)时钟、电容器、开关、3)时钟、运放、电容器、MOS开关;4)都不正确。

三、判断△

1、常用MOS单元电路有:电流源电路.CMS基本放大电路。

2、CMOS开关电路是由NMOS和PMOS组合而成,他克服了NMOS模拟开关电路R ON随vi的增大而减小的缺点,扩大了输入信号幅度范围。

3、变换电路属于非线性变换电路,其输入信号随幅度、频率或相位改变而变,使输出信号波形同于输入信号波形。

4、信号发生器不需要输入即可发出各种信号。

5、集成运放中有大量的电阻、电容、二极管、三极管等元件。

6、正弦波发生器可以改变幅值、频率,但不能改变相位。

7、三角波发生器的频率由电阻、电容和放大倍数决定。

8A、三角波发生器中没有方波输出。对吗?

8、波形变换器可以改变频率、幅值和形状。

9、压/频转换器的输出电压可以调整。

10、绝对值电路的输出正、负不能改变。

11、NE555定时器芯片的内部有模拟和数字两类电路组成。

12、NE555定时器组成的电路只能用于定时和产生矩形波形

13、555定时器在无稳态工作方式时,可用于定时。

14、模拟集成乘法器可用于四则运算及信号处理。

15、调制就是将电压信号的形状改变,以便于发射和接收。

16、解调电路的作用是使信号还原成调制前的状态。

17、无线电信号的调制有调频、调幅、调相三种。抑载单边带是其中的一种。

18、工作与无稳态方式的555定时器可以产生各种波形。

19、乘法器运算电路中乘法和开方运算可用同一电路。

20、混频和倍频都是将频率信号进行处理的一种方式。

21、混频和倍频的的电路结构相似,不同的是滤波器。

22、FSK是一数字信号为调制信号的一种调制方式,又称为单边带调制。

23、NE565锁相调制中的信号都是同一种类型。

24、NE565锁相调制中的三极管及阻、容元件的作用是放大信号。

25、NE565锁相调制中的输出信号是从三极管的基极输出的。

26、NE565锁相调制中不需要外加电容器作为振荡元件。

27、FSK调制信号是对模拟信号调制的数字数字输出。

28、频率合成器的作用就是将不同频率的信号进行混频。

29、锁相环频率合成器是锁相环和振荡器的组合。

30、固定式前置分频式只有改变频率代码才能改变输出频率。

31、混频就是将不同的频率进行混合。

32、利用集成稳压器的稳压性能,适当连接电路还可以稳定输出电流。

33、集成稳压器的输出阻抗越小,稳压性能越好。

34、电压调整率是稳压器的一个工作参数,越小越好。

35、输出噪声电压小,说明稳压器工作时的声音小。

36、7805稳压器的基准电压是5V。

37、三端集成可调稳压器的输出电压值不确定。

38、78 和79 两个系列的稳压器不能配合使用。

39、开关电容不能用于放大电路中。

40、并联SC单元和串联的效果相同。

四、问答题

1.MOSFET的特点有哪些(6分)△

2、三角波的频率和幅值与那些参数有什么样的关系

2.集成锁相环有哪几种状态?相应的特点是什么?

3.什么是FSK调制。

4.NE555的主要结构有哪些?

5.锁相环在调制电路中的应用有哪些?

的波形(6分)☆6.电路如图1所示,当交流信号源为正弦波时,画出图中u

图 1

7.什么是频率合成器?有哪些分类?

8、图2中,分别画出Space 分别置于1、2时,uo 的波形图?并绘出当滑动变阻器R 的调节端分别左移和右移时,波形变化的变化情况。(6分)★

3

7、图3闭合一次后uo 的波形。(6分)★

图3

8、写出稳压器电压调整率的表达式,简述其概念(6分)▲

u 0

五、论述题

1.举例说明模拟集成运放的线性和非线性应用有哪些? (8分)★

2、试述图4电路的功能(6分)▲

图4

3、画出NE555集成定时器内部结构框图,列表说明管脚信号电压与输出的关系 (8分)★

4.电路如图5所示,回答下列问题(6分)▲ : 1)电路的名称或功能.

2) 用波形图表示vi 和vo 间的关系

3) ⊿V = Vth 1- Vth 2 的表达式

5.

图6

4.试述锁相环路各主要部分的作用。

5、由锁相环路构成的电路如图7所示,试说明电路的名称及作用,并推导f o 和f r 的关系。

Q1

2N6659M1

2N6804M2

2N6804Q2

2N6659

U1U2D1

(C1-15)

△(6分)

图7

6.试述模拟集成乘法器的定义和应用领域。△(6分)

7.试述集成稳压器的特点。△(6分)

8.试回答开关电容的定义,画出其基本原理图,说明开关电容的工作原理。△(6分)

六、画图题

1、FM调制原理如图8所示,试画出NE56内部电路框图与FM调制电路连接关系。★(8分)

2、SPWM调制电路如图9所示,试绘制运放U1、U2、U

3、U4的输出波形。☆(6分)

图9

3、FM 调制器电路如图10所示,试绘制ui 、ωf 、ωo 的波形,并说明调制过程。☆(8分)

(C68)

Ωu

七、设计题

1、设计均方根电路,并说明各环节的输入输出关系 。▲(6分)

2、试设计一四窗口电压比较器,并将比较信息通过信号显示。☆(8分)

3、试设计一正弦波发生器频率在100HZ —500HZ 、输出电压幅值0—10V 可调。☆(8分)

4.试设计一占空比可调的方波发生器。(6分)▲

八、计算题

1、已知:f τ= 100KHZ. 设 300≤N A <399 351≤N B ≤397 求fo 的范围及频率间隔★(8分)

fi

PD

LF

VCO

A

PD

LF

PD LF

VCO

VCO

fM C

fc

fB

B

fo

÷100

÷NA

÷NB

带通混频

(C1-74)

fA

2、写出输出电压与电路参数间的表达式。▲(6分)

3、写出电路中i+和i-的表达式☆(8分)

Vo

i-(t)

4、双环式频率合成器电路框图如图所示,试根据已知参数推导出fr 与fo 间的关系式,并求出频率覆盖范围(fmin —fmax)、频率间隔⊿f 和波道数 ⊿N 。▲(6分)

N1=10000-12000

5、图4所示电路具有什么功能? 写出uo 与ui 和ur 的关系。(6分)▲

6.电路如图所示,已知R1= R2 = R3 = R5 = 2R4,ui=10sinωt(v),求uo的值。★(8分)

难度系数说明★——难度系数高☆——难度系数较高▲——难度系数中等△——难度系数较低

R3

Vo (C27)

集成电路IC知识共12页文档

集成电路IC常识 中国半导体器件型号命名方法 第一部分:用数字表示半导体器件有效电极数目。 第二部分:用汉语拼音字母表示半导体器件的材料和极性 第三部分:用汉语拼音字母表示半导体器件的内型。 第四部分:用数字表示序号 第五部分:用汉语拼音字母表示规格号 日本半导体分立器件型号命名方法 第一部分:用数字表示器件有效电极数目或类型。 第二部分:日本电子工业协会JEIA注册标志。 第三部分:用字母表示器件使用材料极性和类型。 第四部分:用数字表示在日本电子工业协会JEIA登记的顺序号。 第五部分:用字母表示同一型号的改进型产品标志。 集成电路(IC)型号命名方法/规则/标准 原部标规定的命名方法X XXXXX 电路类型电路系列和电路规格符号电路封装T:TTL;品种序号码(拼音字母)A:陶瓷扁平; H:HTTL;(三位数字) B :塑料扁平; E:ECL; C:陶瓷双列直插; I:I-L; D:塑料双列直插; P:PMOS; Y:金属圆壳; N:NMOS; F:金属菱形; F:线性放大器; W:集成稳压器; J:接口电路。 原国标规定的命名方法CXXXXX中国制造器件类型器件系列和工作温度范围器件封装符号 T:TTL;品种代号C:(0-70)℃;W:陶瓷扁平; H:HTTL;(器件序号)E :(-40~85)℃;B:塑料扁平; E:ECL; R:(-55~85)℃;F:全密封扁平; C:CMOS; M:(-55~125)℃;D:陶瓷双列直插; F:线性放大器; P:塑料双列直插; D:音响、电视电路; J:黑瓷双理直插; W:稳压器; K:金属菱形; J:接口电路; T:金属圆壳; B:非线性电路; M:存储器; U:微机电路;其中,TTL中标准系列为CT1000系列;H 系列为CT2000系列;S系列为CT3000系列;LS系列为CT4000系列; 原部标规定的命名方法CX XXXX中国国标产品器件类型用阿拉伯数字和工作温度范围封装 T:TTL电路;字母表示器件系C:(0~70)℃F:多层陶瓷扁平; H:HTTL电路;列品种G:(-25~70)℃B:塑料扁平; E:ECL电路;其中TTL分为:L:(-25~85)℃H:黑瓷扁平; C:CMOS电路;54/74XXX;E:(-40~85)℃D:多层陶瓷双列直插; M:存储器;54/74HXXX;R:(-55~85)℃J:黑瓷双列直插; U:微型机电路;54/74LXXX;M:(-55~125)℃P:塑料双列直插; F:线性放大器;54/74SXXX; S:塑料单列直插; W:稳压器;54/74LSXXX; T:金属圆壳; D:音响、电视电路;54/74ASXXX; K:金属菱形; B:非线性电路;54/74ALSXXX; C:陶瓷芯片载体; J:接口电路;54/FXXX。 E:塑料芯片载体; AD:A/D转换器;CMOS分为: G:网格针栅阵列; DA:D/A转换器;4000系列;本手册中采用了: SC:通信专用电路;54/74HCXXX; SOIC:小引线封装(泛指); SS:敏感电路;54/74HCTXXX; PCC:塑料芯片载体封装;SW:钟表电路; LCC:陶瓷芯片载体封装; SJ:机电仪电路; W:陶瓷扁平。SF:复印机电路; 一。如何鉴别IC原装、散新 在研发或学习过程中,经常需要买少量的片子,代理的质量虽然好,但是数量

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

专升本CMOS模拟集成电路分析与设计试卷答案

专升本CMOS模拟集成电路分析与设计试卷答案

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等,应 取为()。(2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

集成电路版图基础知识练习

一、填空 1.ls (填写参数)命令用于显示隐藏文件。(-a) 2.进入当前目录的父目录的命令为 (%cd ..) 3.查看当前工作目录的命令为:(%pwd) 4.目录/home//uuu已建立,当前工作目录为/home/,采用绝对路径进入/home//uuu 的命令为:(%cd /home//uuu) 5.假设对letter文件有操作权限,命令%chmod a+rw letter会产生什么结果:(对 所有的用户增加读写权限。) 6.显示当前时间的命令为:(%date) 7.打开系统管理窗口的命令为:(%admintool) 8.与IP地址为166.111.4.80的主机建立FTP连接的命令为:(%ftp 166.111.4.80 or %ftp %open 166.111.4.80) 9.建立FTP连接后,接收单个文件的命令为:(%get) 10.建立FTP连接后,发送多个文件的命令为:(%mput) 11.有一种称为0.13um 2P5M CMOS单井工艺, 它的特征线宽为______,互连层共有 _____层,其电路类型为_______。0.13um 7 CMOS 12.请根据实际的制造过程排列如下各选项的顺序: a.生成多晶硅 b.确定井的位置和大小 c.定义扩散区,生成源漏区 d.确定有源区的位置和大小 e.确定过孔位置 正确的顺序为:___ _________________。bdace 13.集成电路中的电阻主要有__________, ____________, _____________三种。井电 阻,扩散电阻,多晶电阻 14.为方便版图绘制,通常将Contact独立做成一个单元,并以实例的方式调用。若该 Contact单元称为P型Contact,由4个层次构成,则该四个层次分别为:_________,_________, _________, ___________. active, P+ diffusion, contact, metal. 15.CMOS工艺中,之所以要将衬底或井接到电源或地上,是因为 ___________________________________。报证PN结反偏,使MOS器件能够正常工 作。 16.版图验证主要包括三方面:________,__________,__________; 完成该功能的 Cadence工具主要有(列举出两个):_________,_________。DRC, LVS, ERC, Diva, Dracula 17.造成版图不匹配的因数主要来自两个方面:一是制造工艺引起的,另一个是 __________;后者又可以进一步细分为两个方面:_______________, _____________。片上环境波动,温度波动,电压波动。 18.DRC包括几种常见的类型,如最大面积(Maximum Dimension),最小延伸(Minimum Extension),此外还有_________,_________,_________。最小间距,最小宽度,最小包围(Minimum Enclosure)。 19.减少天线效应的三种方法有:____________,____________,__________。插入二 极管,插入缓冲器,Jumper (或者,通过不同的金属层绕线)。 20.由于EDA工具的不统一,出现了各种不同的文件格式,如LEF, DEF等,业界公认 的Tape out的文件格式为 _______,它不可以通过文本编辑器查看,因为它是

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

2017年数字IC类笔试面试试题

2017年数字IC类笔试面试试题 威盛logic design engineer考题 1。一个二路选 择器,构成一个4路选择器,满足真值表要求、 2。已知A,B,C三个信号的波形,构造一个逻辑结构,使得从AB可以得到C,并且说明如何避免毛刺 3。一段英文对信号波形的描述,理解后画出波形,并采用verilog 实现。 4。169.6875转化成2进制和16进制 5。阐述中断的概念,有多少种中断,为什么要有中断,举例 6。这道比较搞,iq题,5名车手开5种颜色的车跑出了5个耗油量(milespergallon),然后就说什么颜色的车比什么车手的耗油量多什么的,判断人,车,好油量的排序ft致死,看了一堆FSM和数字电路没啥用,结果基本的冬冬把自己搞死了。 不过mixedsignal里的数字部分到是很全的考察了数字的冬冬(转)几道威盛电子的FPGA工程师试题 7、解释setup和hold time violation,画图说明,并说明解决办法. 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock 的delay,写出决定最大时钟的因素,同时给出表达式. 18、说说静态、动态时序模拟的优缺点. 19、一个四级的Mux,其中第二级信号为关键信号如何改善timing 22、卡诺图写出逻辑表达使. 23、化简F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)的和 28Please draw the transistor level schematic of a cmos2input AND gate andexplain which input has faster response for output rising edge.(less del aytime). 30、画出CMOS的图,画出tow-to-one mux gate. 45、用逻辑们画出D触发器46、画出DFF的结构图,用verilog实现之. 68、一个状态机的题目用verilog实现73、画出可以检测10010串的状态图,并verilog实现之. 80、 Please draw schematic of a common SRAM cell with6transistors,point o utwhich nodes can store data and which node is word line control?(威盛笔试circuit design)(转) VIA数字IC笔试试题 1。解释setup和hold time violation,画图说明,并说明解决办法。

使用集成电路的基本知识详细版

文件编号:GD/FS-8265 (安全管理范本系列) 使用集成电路的基本知识 详细版 In Order To Simplify The Management Process And Improve The Management Efficiency, It Is Necessary To Make Effective Use Of Production Resources And Carry Out Production Activities. 编辑:_________________ 单位:_________________ 日期:_________________

使用集成电路的基本知识详细版 提示语:本安全管理文件适合使用于平时合理组织的生产过程中,有效利用生产资源,经济合理地进行生产活动,以达到实现简化管理过程,提高管理效率,实现预期的生产目标。,文档所展示内容即为所得,可在下载完成后直接进行编辑。 1.集成电路型号的识别 要全面了解一块集成电路的用途、功能、电特性,那必须知道该块集成电路的型号及其产地。电视、音响、录像用集成电路与其它集成电路一样,其正面印有型号或标记,从而根据型号的前缀或标志就能初步知道它是那个生产厂或公司的集成电路,根据其数字就能知道属哪一类的电路功能。例如 AN5620,前缀AN说明是松下公司双极型集成电路,数字“5620”前二位区分电路主要功能,“56”说明是电视机用集成电路,而70~76属音响方面的用途,30~39属录像机用电路。详细情况请

参阅部分生产厂集成电路型号的命名,但要说明,在实际应用中常会出现A4100,到底属于日立公司的HA、三洋公司的LA、日本东洋电具公司的BA、东芝公司的TA、南朝鲜三星公司的KA、索尼公司的CXA、欧洲联盟、飞利浦、莫托若拉等国的TAA、TCA、TDA的哪一产品?一般来说,把前缀代表生产厂的英文字母省略掉的集成路,通常会把自己生产厂或公司的名称或商标打印上去,如打上SONY,说明该集成电路型号是CXA1034,如果打上SANYO,说明是日本三洋公司的LA4100,C1350C 一般印有NEC,说明该集成电路是日本电气公司生产的uPC1350C集成电路。 有的集成电路型号前缀连一个字母都没有,例如东芝公司生产的KT-4056型存储记忆选台自动倒放

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

模拟集成电路分析与设计复习题

1. MOSFET 跨导g m 是如何定义的。在不考虑沟道长度调制时,写出MOSFET 在饱和区的g m 与 V GS ?V TH 、√D 和1V GS ?V TH 的关系表示式。画出它们各自的变化曲线。 2. MOSFET 的跨导g m 是如何定义的。在考虑沟道长度调制时,写出MOSFET 在饱和区的g m 与 V GS ?V TH 、√D 和1 V GS ?V TH 的关系表示式。画出它们各自的变化曲线。 3. 画出考虑体效应和沟道长度调制效应后的MOSFET 小信号等效电路。写出r o 和g mb 的定 义,并由此定义推出r o 和g mb 表示式。 4. 画出由NMOS 和PMOS 二极管作负载的MOSFET 共源级电路图。对其中NMOS 二极管负载共 源级电路,推出忽略沟道长度调制效应后的增益表示式,分析说明器件尺寸和偏置电流对增益的影响。对PMOS 二极管负载的共源级电路,对其增益表示式作出与上同样的分析。 5. 画出MOS 共源共栅级电路的电路图和其对应的小信号等效电路图。并推出此共源共栅 级电路的电压增益和输出电阻表示式。 6. 画出带源极负反馈电阻的以电阻作负载的MOS 共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出在不考虑沟道长度调制和体效应情况下的小信号电压增益表示式。画出其漏电流和跨导随V in 的变化曲线图。 7. 画出带源极负反馈电阻的以电阻作负载的MOS 共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出考虑沟道长度调制和体效应情 况下的小信号电压增益表示式。画出其漏电流和跨导随V in 的变化曲线图。 8. 画出以二极管连接的MOS 为负载的差动对和以电流源为负载的差动对的电路图。并求 出这两种电路的小信号增益。 9.下图给出一个电阻负载共源级放大器的高频模型。画出其小信号等效电路。并由此等效 电路推出其传输函数。就此传输函数,简要说明电路的零极点分布情况。 10.对如下图所示的共源级电路,画出其含有噪声的电路模型。并根据此模型图写出其输出 噪声电压V n ,out 2?????????和输入噪声电压V n ,in 2????????。 11.下图是一个电路系统的环路增益波特图,由图分析此系统的极点和零点情况。指出系统的稳定性,写出系统的开环和闭环传输函数,并由此求出闭环系统的极点公式来。

使用集成电路的基本知识

编订:__________________ 审核:__________________ 单位:__________________ 使用集成电路的基本知识 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-1587-33 使用集成电路的基本知识 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 1.集成电路型号的识别 要全面了解一块集成电路的用途、功能、电特性,那必须知道该块集成电路的型号及其产地。电视、音响、录像用集成电路与其它集成电路一样,其正面印有型号或标记,从而根据型号的前缀或标志就能初步知道它是那个生产厂或公司的集成电路,根据其数字就能知道属哪一类的电路功能。例如AN5620,前缀AN 说明是松下公司双极型集成电路,数字“5620”前二位区分电路主要功能,“56”说明是电视机用集成电路,而70~76属音响方面的用途,30~39属录像机用电路。详细情况请参阅部分生产厂集成电路型号的命名,但要说明,在实际应用中常会出现A4100,到底属于日立公司的HA、三洋公司的LA、日本东洋电具公司的

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

《模拟集成电路设计》复习

《模拟集成电路设计》复习 答疑安排: 第13周星期二(5月29日),上午9:00-11:30,下午14:30-17:00,工三310 考试题型: 七道大题:第2章一题,第3、4章各两题,第5章一题,第6、7章共一题 考试注意事项: 所有题目采用课本P32表2.1的数据,V DD=3V,C OX=3.84 10-7F/cm2,忽略漏/源横向扩散长度L D。试题会给出所需参数值。 时刻区分大信号、小信号。 时刻注意是否考虑二级效应。 题目有“推导”两字时,需给出求解过程。 必考:画小信号等效电路 复习题 例2.2补充问题:(1)分析MOS工作区间变化情况;(2)画出I D-V DS 曲线;(3)推导线性区跨导表达式。 习题2.2注意:跨导的单位。

习题2.3补充问题:给定参数值,计算本征增益的数值。注意:画曲线时需考虑λ与L的关系。 例3.5 补充问题:画出图3.21(b)电路的小信号等效电路,推导增益表达式。 习题3.2问题(b)删去。补充问题:求R out。 习题3.12解题思路:I1→V out→V GS2→(W/L)2→A v 习题3.14 输出摆幅=V DD-V OD1-|V OD2|。 解题思路:A v,R out→g m1→(W/L)1→V OD1→|V OD2|→(W/L)2 第4章课件第49页的题目差模增益-g m1(r o1||r o3),共模增益0,共模抑制比+∞ 例4.6 习题4.18 只要求图4.38(a)-(d)。补充问题:画出半边电路。注意:画半边电路时去掉电流源M5。 习题4.25 计算过驱动电压V OD时忽略沟道长度调制效应。注意双端输出摆幅为单端时的2倍。 习题5.1问题(e)删去。问题(c)和(d)有简单的计算方法。 习题5.5问题(b)(c)删去。λ=0。 例6.4补充问题:画出低频小信号等效电路,推导低频小信号增益;写出C D、C S分别包含哪些MOS电容。 习题6.9 只要求图6.39(a)(b)(c)。 例7.11只计算热输入参考噪声电压。 习题7.11补充问题:推导小信号增益。

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

相关主题
文本预览
相关文档 最新文档