当前位置:文档之家› 数字频率计

数字频率计

数字频率计
数字频率计

数字频率计课程设计

摘要

数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。因此数字频率计在测量物理量方面应用广泛。本设计用VHDL在CPLD器件上实现数字频率计测频系统,能够用十进制数码显示被测信号的频率,能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。采用VDHL 编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。

关键词:FPGA芯片、VHDL语言、数字频率计、数字频率计原理图、EDA技术

Abstract

Digital cymometer is to directly show to be measured a kind of diagraph of signal frequency to equip with the decimal system number.It not only can measure sine wave, square wave, triangle wave, sharp pulse signal and other have a period of the frequency of the signal of characteristic, and can also measure their periods.Has been refitted, can measure pulse width, make into the number type vein breadth to measure an instrument;Can measure electric capacity to make into a number type the electric capacity measure an instrument;Increase to spread a feeling machine in the electric circuit, can also make into a number pulse instrument, account a price machine etc..Therefore the digital cymometer accounts in the diagraph physics to measure aspect applied extensive.This design is used VHDL the spare part is at CPLD up carry out digital cymometer to account to measure repeatedly system, can show to be measured the frequency of signal with the decimal system figures, can measure the frequency of sine wave, square wave and triangle wave etc. signal, and return an ability as to it's he various physical quantity carry on diagraph.Have the physical volume small and dependable sex Gao and achievement to consume a low characteristics.digital cymometer is the diagraph instrument of research production realm indispensabilities, such as calculator, communication equipments and audio frequency video frequency...etc..The digital cymometer that adopts VDHL to weave a distance to design a realization accounts, in addition to is measured the orthopedics of signal part, the key importation part and figures show part, rest all in one FPGA realization of chip, the whole system simplifies very much, and has vivid spot to change sex.On the foundation that doesn't change hardware electric circuit, carries on various function that the improvement can also raise system further to the system.The number's frequency accounts to have high speed, precision, credibility, the anti- interference is strong and the spot programmable etc. advantage.

Key words:The FPGA chip, VHDL language and digital cymometer, the digital cymometer account principle diagram ,EDA technique

目录

引言 (1)

技术性能指标及分工 (2)

第1章频率计的设计背景及原理 (3)

1.1 设计背景 (3)

1.2 设计原理 (3)

1.2.1 频率计设计原理 (3)

1.2.2 原理框图 (4)

1.3 设计思路 (5)

第2章频率计测量频率的层次化设计方案 (6)

2.1频率产生器 (6)

2.2测频控制信号发生器 (7)

2.3十进制计数器 (9)

2.4 24位锁存器 (11)

2.5 数码管控制器 (12)

2.6 译码器 (15)

2.7元件声明及例化 (16)

总结 (20)

心得体会 (21)

参考文献 (22)

附录 (24)

引言

所谓频率,就是周期性信号在单位时间(1s)里变化的次数。本频率计设计测量频率的基本原理是,首先让被测信号与标准信号一起通过一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用LED数码显示管显示出来。

根据数字频率计的基本原理,本设计方案分三个模块来实现其功能,即整个数字频率计系统分为时基产生与测频时序控制电路模块、待测信号脉冲计数电路模块、锁存与译码显示控制电路模块等几个单元,并且分别用VHDL硬件描述语言对其进行编程,实现了控制电路、计数电路、锁存与译码显示电路。

技术性能指标及分工

1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率;

2)能直接用十进制数字显示测得的频率;

3)频率测量范围:1HZ~999999HZ;

4)测量时间:T<=2.0S;

5)用CPLD/FPGA可编程逻辑器件实现;

进度安排:

2011/06/27 集体针对设计进行分析、讨论,确定好分工,明确设计进度,以及对设计总体上有个了解。

2011/06/28 各组员针对自己的任务,查找相关资料,完成各自相关部分。

2011/06/29 集体讨论,找出一个较佳的方案。

06/30—07/05 进行可行性分析,进行程序设计及仿真,对有错或不佳的地方加以改正。07/06—07/07 最后分析整理,书写课程设计报告。

2011/07/08 打印相关图纸,答辩。

分配任务:

郭跃明上网搜集资料,审核,打印;

李宝对资料进行初步整理,以备选用,写论文;

江时文确定设计方案,设计程序及仿真。

第1章频率计的设计背景及原理

1.1 设计背景

随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,因此测频计常受到人们的青睐。目前许多高精度的数字频率计都采用单片机加上外部的高速计数器来实现,然而单片机的时钟频率不高导致测频速度比较慢,并且在这种设计中,由于PCB版的集成度不高,导致PCB板走线长,因此难以提高计数器的工作频率。为了克服这种缺点,大大提高测量精度和速度,我们可以设计一种可编程逻辑器件来实现数字频率计。

EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术。其设计的灵活性使得EDA技术得以快速发展和广泛应用。以QUARTUS II软件为设计平台,采用VHDL语言实现数字频率计的整体设计。

伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与电子技术,微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,VHDL语言是经IEEE确认的标准硬件语言,在电子设计领域受到了广泛的接受。

1.2 设计原理

1.2.1 频率计设计原理

数字频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1s。闸门时间可以根据需要取值,大于或小于1s都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测量一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越

快,但测得的频率精度就受影响。一般取1s 作为闸门时间。

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字式频率计的测量原理有两类:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。直接测频法适用于高频信号的频率测量,通常采用计数器、数据锁存器及控制电路实现,并通过改变计数器阀门的时间长短在达到不同的测量精度;间接测频法适用于低频信号的频率测量,本设计中使用的就是直接测频法,即用计数器在计算1S 内输入信号周期的个数。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA 的广泛应用,以EDA 工具作为开发手段,运用VHDL 等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。

本设计中使用的就是直接测频法,即用计数器在计算1s 内输入信号周期的个数,其测频范围为1Hz~999999Hz 。 1.2.2 原理框图

设计的原理框图如下所示:

10计数器

锁存器

测频控制信号发生器

LED 控制电路

频率产生器

译码器

超出量程输出

显示输出数码管片选信号

脉冲

转换电路

待测信号输入

使能

复位

脉冲信号

控制信号

图1 数字频率计原理框图

1.3 设计思路

1、通过脉冲转换电路将三角波、锯齿波、正弦波,矩形波等周期性信号转换成矩形脉冲,本论文中就不涉及这方面的设计。矩形脉冲转换原理如图:

时基T

图2矩形脉冲生成原理图

2、频率测量的基本原理是计算每秒钟内待测信号的转换生成的脉冲个数。这就要求测频控制信号发生器testpl的计数使能信号tsten能产生一个1秒脉宽的周期信号,并对频率计的每一计数器cnt10的使能端en进行同步控制。当tsten为高电平"1"时,允许计数;为低电平"0"时停止计数,并保持其计数结果。在停止计数期间,首先需要一个锁存信号load的上跳沿将计数器在前1 秒种的计数值锁存进24位锁存器reg24b中。锁存信号之后,必须有一个清零信号clr_cnt对计数器进行清零,为下1 秒的计数操作做准备。然后由外部数码管控制器ledcom控制的8段译码器ymq译出,并稳定显示。

第2章频率计测量频率的层次化设计方案

2.1频率产生器

频率计电路工作时先要产生一个计数允许信号(即闸门信号),闸门信号的宽度为单位时间,如1S。在闸门信号有效时间内,对被测信号计数,即为信号的频率。该频率计电路的精度取决于闸门信号T。

本设计中选取的基准信号频率为40khz,为了得到1s高电平的周期性闸门信号,本设计采用对频率为40khz基准信号进行分频就能得到1HZ的基准信号,这样得到的门闸信号高电平为1秒钟,处理后可以产生用于测频所需的计数允许、锁存数据和清零三个控制信号。同时产生400HZ的信号来控制数码管显示。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity lpm_counter0 is

port(clock: in std_logic ;

clk_div1 : out std_logic;

clkq: out std_logic);

end lpm_counter0;

architecture syn of lpm_counter0 is

signal q1,q2 :std_logic;

begin

process(clock)

variable cnt1 : integer range 1 to 50;

variable cnt2 : integer range 1 to 400;

begin

if clock'event and clock='1' then

if cnt1=50 then

cnt1:=1;

q1 <= not q1; --扫描信号 if cnt2=400 then

cnt2:=1;

q2 <=not q2;

else

cnt2:=cnt2+1;

end if;

else

cnt1:=cnt1+1;

end if;

end if;

end process;

clk_div1 <=q1; clkq <=q2; end syn;

编译成功后生成元件图如下:

clock

clk_div1

clkq

lpm_counter0

inst

仿真时设置总时间为4s,对clock引脚输入设置成时间信号,周期是25ns,则其频率为40kHZ。经过分频后产生1HZ的基准信号,从clkq输出。仿真波形如图下图:

2.2测频控制信号发生器

输入端clk收到1Hz信号后,其输出端testen控制各个cnt10的使能,clr_cnt控制各个cnt10的清零,load控制锁存器内数据的输出。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity testpl is

port(clk:in std_logic;--1Hz信号

tsten:out std_logic;--计数器使能信号

clr_cnt:out std_logic;--计数器清零信号

load:out std_logic);--锁存器输出控制信号end testpl;

architecture art of testpl is

signal div2clk:std_logic;

begin

process(clk)

begin

if clk'event and clk='1'then

div2clk<=not div2clk; --div2clk为2Hz end if ;

end process;

process (clk ,div2clk)

begin

if( clk='0'and div2clk='0')then

clr_cnt<='1';

--当div2clk与clk同时为零时计数器清零

else clr_cnt<='0';

--当div2clk处于的高电平时计数器计数

end if;

end process;

load<=not div2clk;

--锁存器输出与计数器使能信号反相

tsten<=div2clk;

end art;

编译成功后生成元件图如下:

clk tsten

clr_cnt

load

testpl

inst1

从测频控制信号发生器模块的仿真图,很鲜明的给出了时钟信号与计数允许信号tsten、清零信号clr_cnt和锁存信号load的关系,从仿真图可以看出计数允许信号、清零信号和锁存信号与变量Q之间所存在的相对应的关系。仿真结果如下图所示:

2.3十进制计数器

有一时钟使能输入端en,用于锁定计数值。当高电平"1"时允许计数器计数,低电平"0"时禁止计数。多位十进制计数器时,最低位的计数器的clk端输入被测信号,各计数器的进位输出端c10将信号输到下一位十进制计数器cnt10的输入端clk,最高位十进制计数器cnt10的进位输出端c10给carry_out,进行报警提示(超出量程)。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt10 is

port(clk,clr,en: in std_logic;

--clk:计数器时钟,clr:清零信号,en:计数使能信号

q: out std_logic_vector(3 downto 0);

--q:4位计数结果输出

c10: out std_logic); --计数进位

end cnt10;

architecture art of cnt10 is

signal cqi: std_logic_vector(3 downto 0);

begin

process (clk,clr)

begin

if clr='1' then cqi<="0000";

--当输入的clr_cnt为低电平0时清零

elsif clk'event and clk='1' then

if en='1' then

--当输入的tesen为高电平1时允许计数

if (cqi<9) then cqi<=cqi+1;

else cqi<="0000"; --等于9则计数器清零

end if;

--当输入的tesen为低电平0时禁止计数,锁定计数值

end if;

end if;

end process;

--产生进位

process(cqi)

begin

if cqi="1001" then c10<='1';

--当加的9时产生进位输出

else c10<='0';

end if;

end process;

q<=cqi;

end art;

在项目编译仿真成功后,将设计的十进制计数器电路设置成可调用的元件cnt10.sym,用于以下的顶层设计。编译成功后生成元件图如下:

clk

clr

en

q[3..0]

c10

cnt10

inst2

在源程序中c10是计数器进位输出;q[3..0]是计数器的状态输出;clk是被测信号转换后的矩形脉冲输入端;clr是复位控制输入端,当clr=1时,q[3..0]=0;en是使能控制输入端,当en=1时,计数器计数,当en=0时,计数器保持状态不变。编译成功后进行仿真,其仿真波形如下:

2.4 24位锁存器

将已有24 位bcd码存在于此模块的输入口din[23..0],在信号load的上升沿后即被锁存到寄存器reg24b的内部,并由reg24b的输出端dout[23..0]输出,设置锁存器的好处是,数码管上显示的数据稳定,不会由于周期性的清零信号而不断闪烁。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity reg24b is

port (load: in std_logic;--输出锁存控制信号

din: in std_logic_vector(23 downto 0);

dout: out std_logic_vector(23 downto 0));

end reg24b;

architecture art of reg24b is

begin

process(load,din)

begin

if load'event and load='1'then

--load为高电平时teten为低电平,计数器禁止 dout<=din; --锁存输入的数据

end if;

end process;

end art;

编译成功后生成元件图如下:

load

din[23..0]

dout[23..0]

reg24b

inst3

在源程序中load 是锁存信号,上升沿触发;din[23..0]是寄存器输入;dout[23..0] 是寄存器输出。仿真结果如下图所示:

2.5 数码管控制器

两个输入端一个为datain[23..0],另一个为数码管显示选择的扫描频率输入端clk,输出端为数码管选择信号com[5..0]和对应显示的数码管的BCD码信号端dataout[3..0],数码管显示选择随扫描频率clk循环变化,实现稳定显示。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ledcom is

port(clk:in std_logic; --数码管扫描频率

datain: in std_logic_vector(23 downto 0); --锁存器输入的24位信号

dataout: out std_logic_vector(3 downto 0); --输出至译码器的4位信号

com: out std_logic_vector(5 downto 0));

--输出数码管选择信号

end ledcom;

architecture art of ledcom is

signal comclk: std_logic_vector(2 downto 0); begin

--comclk同扫描频率clk循环变化

process(clk)

begin

if rising_edge(clk) then

if comclk <5 then

comclk<=comclk+1;

else comclk <="000";

end if;

end if;

end process;

--数码管选择

process(comclk)

begin

case comclk is

when "000" => com<="100000";

when "001" => com<="010000";

when "010" => com<="001000";

when "011" => com<="000100";

when "100" => com<="000010";

when "101" => com<="000001";

when others =>NULL;

end case;

end process;

--对应数码管的输出

process(comclk,datain)

begin

case comclk is

when "101"=> dataout<=datain(3 downto 0);

when "100"=> dataout<=datain(7 downto 4); when "011"=> dataout<=datain(11 downto 8);

when "010"=> dataout<=datain(15 downto 12);

when "001"=> dataout<=datain(19 downto 16); when "000"=> dataout<=datain(23 downto 20);

when others =>NULL;

end case;

end process;

end art;

编译成功后生成元件图如下:

clk

datain[23..0]

dataout[3..0]

com[5..0]

ledcom

inst4

在clk信号的控制下,数码管进行循环控制输出。仿真结果如图所示:

2.6 译码器

输入端d_in[3..0]将接收BCD码信号,译码后输出端d_out[7..0]输出8段数码管信号,其中输出的第8位均为高电平"1"可以使四个数码管的小数点不显示。经译码器的处理输出后数码管显示相应的数值。

library IEEE;

use IEEE.std_logic_1164.all;

entity ymq is

port( d_in: in std_logic_vector(3 downto 0);

--数码管控制器输入四位信号

d_out: out std_logic_vector(7 downto 0));

--输出8位信号

end ymq;

--第8位d_out[7]为逗号

architecture art of ymq is

begin

process(d_in)

begin

case d_in is --第8位为1高电平逗号不显示

when "0000" => d_out<="11000000"; --0

when "0001" => d_out<="11111001"; --1

when "0010" => d_out<="10100100"; --2

when "0011" => d_out<="10110000"; --3

when "0100" => d_out<="10011001"; --4

when "0101" => d_out<="10010010"; --5

when "0110" => d_out<="10000010"; --6

when "0111" => d_out<="11111000"; --7

when "1000" => d_out<="10000000"; --8

when "1001" => d_out<="10010000"; --9

when others =>NULL;

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字频率计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:数字频率计 初始条件: 具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用; 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计频率检测电路; 2、测量信号与TTL电平兼容,频率范围:0HZ~100KHZ; 3、数码管显示频率; 4、掌握数字电路的设计及调试方法; 5、撰写符合学校要求的课程设计说明书。 时间安排: 时间一周,其中2天原理设计,3天电路调试 指导教师签名:年月日系主任(或责任教师)签名:年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 本文介绍了一种测量仅与TTL电平兼容的信号的数字频率计,频率测量中直接测量的数字频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。其频率的测量范围为0HZ到100KHZ,此次设计频率计思路主要是利用计数原理,通过一定的时基控制电路能在1秒钟以内让计数器工作于计数状态,最后在1秒钟内将计数值进行锁存﹑输出﹑显示,即可得到待测信号频率,涉及到的集成芯片主要有十进制计数芯片74LS90﹑边沿控制锁存器74LS273﹑用于数码管显示的译码器CD4511﹑以及时基芯片555和双可重复单稳态触发器74LS123,共同完成了数字频率计的设计。 关键词:TTL电平兼容信号,计数,频率计

目录 摘要 1.数字频率计的设计总体方案 (1) 1.1数字频率计的简介 (1) 1.2电路方案设计 (2) 1.3方案的比较及选取 (4) 2.电路模块设计 (4) 2.1计数电路 (5) 2.2显示电路 (5) 2.3计时电路 (5) 3.系统总体电路图 (7) 3.1计数显示部分电路 (7) 3.2闸门逻辑控制电路 (8) 4.软件仿真图 ............................................................................................. 错误!未定义书签。 5.实物调试 (11) 5.1实物制作 (11) 5.2实物显示结果图 (11) 5.3误差分析 (11) 6.心得体会 (12) 7.参考文献 (14) 附录:原件清单 (14)

简易数字频率计--鉴定优秀

前言 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的电信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。因此它是一种测量范围较广的通用型数字仪器。 设计要求: 1.被测信号的频率范围100HZ~100KH; 2.输入信号为正弦信号或方波信号; 3.四位数码管显示所测频率,并用发光二极管表示单位; 4.具有超量程报警功能;

第一章系统概述 1.1基本原理 数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。 1.2系统框图 系统框图: 图1数字频率计框图 1.3系统各部分的功能设计 1.3.1波形整形电路 0° 图2

1.3.2 分频器 U2A 4518BD_5V 1A 31B 41C 51D 6 EN12MR17CP1 1 图3(a ) 图3(b ) 分频器的作用是为了获得 1S 的标准时间。电路中首先用两片如图3(a )所示的分频器对经过整形后得到的 100Hz 信号进行 100 分频得到如图4( a )所示周期为 1S 的脉冲信号。然后再用D 触发器如图3(b )进行二分频得到如图4( b )所示占空比为 50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。 图4示波器输出波形 1.3.3 信号放大、波形整形电路 为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路(如图5所示),波形整形采用555构成的施密特触发器(如图6所示)

multisim简易数字频率计

. . . . 哈尔滨工业大学 简易频率计的仿真设计

目录 1.设计要求 2. 总电路图及工作原理 3.电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4. 电路的测试 5. 分析与评价 附录:元器件清单 1.设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪 2

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz; 3. 显示方式:4位十进制数显示。 2.电路工作原理 频率计总电路图如下所示: 2

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3.电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示: 2

3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入。 闸门电路 2

数字频率计的设计

电子测量实训报告 姓名:X X X 院系:X X X X 学院 专业:07电子信息工程 学号: 指导教师: 完成时间: 2010 年 9月 7 日

目录 第1章引言 (3) 1.1数字频率计的概述 (3) 1.2设计任务 (3) 1.3设计目的 (4) 1.4设计方案 (4) 1.5频率计设计原理 (5) 第2章系统硬件设计 (5) 2.1电路原理图设计 (5) 2.2单元电路介绍 (6) 2.3 74LS90引脚及其说明 (8) 2.4 74LS47的介绍 (9) 2.5 74LS123的介绍 (10) 第3章硬件调试 (11) 第4章实训小结 (10) 第5章附录 (13) 附录1 硬件电路原理图和连接图 (13) 附录2 元器件清单 (14) 附录3 参考文献 (14)

数字频率计的设计 摘要:本实训报告是关于数字频率计设计的简要介绍。采用直接测频法的方案来完成本次实训设计。其组成部分有时基电路、闸门电路、逻辑控制电路以及可控制的计数、译码、显示电路。该设计主要用于数码管的显示功能,在四位LED数码管上对输入信号频率进行显示,并能够准确运行。 关键词:数字频率计、计数脉冲、单稳态电路、闸门电路、锁存、频率显示 第1章引言 1.1数字频率计的概述 数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波,方波,三角波和尖脉冲信号的频率,而且还可以测量他们的周期。数字频率计在测量其他物理量如转速、振荡频率等方面获得广泛应用。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则其频率可表示为:f =N/T。 1.2设计任务 设计一个数字频率计系统,频率在四位数码管上进行显示,如下图。从左到右依次为频率的千位、百位、十位、个位。 设计要求: (1)位数: 能计4位十进制数,计数位数主要取决于被测信号频率的高低,如果被测信号频率较高,精度又较高,可相应增加显示位数。 (2)量程: 最大读数为9999Hz,闸门信号的采样时间为1s。 (3)显示方式: 用七段LED数码管显示读数,做到显示稳定、不跳变。

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

数字频率计

燕山大学EDA课程设计报告书 题目:数字频率计

一、设计题目及要求 题目名称:数字频率计 要求: 1.输入为矩形脉冲,频率范围0~999KHz; 2.用3 位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz 和KHz 两档,自动切换,要有档位指示。 4. 超出测量范围,显示3 条短线“- - -”,且发出间隔为1s 的蜂鸣报警。 二、设计过程及内容 测量脉冲信号频率就是测量在单位时间内所产生的脉冲个数,所以在1S 时间内计数器所记录的结果,就是被测信号的频率。测量范围分别是0~999HZ、1~999kHZ,在kHZ档时,LED灯亮。 我们将数字频率计分为六个模块,分别是366分频模块,二分频模块,计数模块,选择模块,扫描模块,报警模块。 1、总体电路图如下: 右侧saomiao模块的输出端ABCDEF与计数器高位的进位输出端取非后相

与,再接接入实验箱,G和进位输出端相或,再接实验箱,实现在超出量程时显示“---”。 2、各部分电路图及功能 (1)分频模块 所选实验箱时钟信号频率为366HZ,为产生周期为两秒、占空比为1:2的时钟信号,需将366HZ的信号先经过366分频,产生周期为1HZ的信号,再经过二分频产生占空比符合要求的时钟信号。 366分频模块电路图: 功能说明:本模块使用三片74160级联构成366进制计数器,将输入的366HZ信号分频为1HZ,占空比为1:366的信号。 二分频模块电路图; 功能说明:使用边沿D触发器构成二分频,在输入信号的上升沿输出一秒的高电平或低电平,形成占空比1:2的时钟信号。

(2)计数器模块 功能说明:本模块共使用8片74160,上部的7片74160构成十进制计数器,左侧的三片计数器实现档位0~999HZ的计数,超出范围后将会有高电平的进位输出,使LED端产生周期为1s的脉冲,即LED灯进行1s的闪烁,标志着此时档位为kHZ。右侧三片实现kHZ档位计数,当低三位最后一个计数器产生进位时,高三位的输出端取或时SEL就会输出高电平,连接二选一模块进行档位选择。当高位输出产生进位时,最后一块产生报警信号的输出DD,为报警模块提供输入。 (3)数据选择器模块 功能说明:输出的档位由输入SEL控制,当SEL=0时,数据选择器选择A 输出即高三位KHZ档;当SEL=1时选择B输出即低三位HZ档。并利用74273在计数1s后输入数据,进行锁存,实现只显示最后的结果,不显示计数过程。其中1S的时钟信号加非门输出端,实现了数据的保存和输出。

数字频率计实验报告

大连理工大学城市学院数字电路与系统课程设计设计题目:数字频率计 学院:电子与自动化学院 专业:自动化 学生:揣智涵 同组人:王晓宁周英茹 指导教师:于海霞 完成日期: 2012年3月26日

目录 第一章设计任务 1.1项目名称 1.2项目设计说明 1.2.1设计任务和要求 1.2.2进度安排 1.3项目总体功能模块图 第二章需求分析 2.1问题基本描述 (要求分析得出整个系统流程图) 2.2系统模块分解及各模块功能的基本要求第三章设计原理 3.1 设计原理 3.2 MAXPLUSII介绍 第四章系统功能模块设计 4.1 FEN模块 4.1.1 FEN模块流程图 4.1.2 输入输出引脚及其功能说明 4.1.3 程序代码实现 4.2 SEL模块 4.2.1 SEL模块流程图 4.2.2输入输出引脚及其功能说明 4.2.3程序代码实现

4.3 CORNA模块 4.3.1 CORNA模块流程图 4.3.2 输入输出引脚及其功能说明 4.3.3 程序代码实现 4.4 LOCK模块 4.4.1 LOCK模块流程图 4.4.2 输入输出引脚及其功能说明 4.4.3 程序代码实现 4.5 CH模块 4.5.1 输入输出引脚及其功能说明 4.5.2 程序代码实现 4.6 DISP模块 4.6.1 输入输出引脚及其功能说明 4.6.2 程序代码实现 第五章调试并分析结果 5.1输入说明 5.2预计输出 5.3测试结果记录 5.4测试结果分析 第六章结论 心得体会 参考文献

第一章设计任务 1.1 项目名称:数字频率计 1.2 项目设计说明 1.2.1 设计任务和要求 此频率计共分4档: 一档:0~9999Hz; 二档:10~99.99kHZ; 三档:100.0~999.9kHz;, 四档:1.000~999MHz; 在换挡的设计方面,此程序突破了以往改变闸门时间的方法,使自动换挡的实现更加简单可靠。 1.2.2 进度安排 第一节课:画出模块及程序流程图 第二节课:调试各模块程序使其无误 第三节课:连接整个程序并下载到试验箱是数字频率计的功能实现 第四节课:改进程序设计实现创新,然后完成课程设计报告 第五节课:完成答辩 1.3 项目总体功能模块图如下

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

multisim简易数字频率计

哈尔滨工业大学 简易频率计的仿真设计

目录 1设计要求 2?总电路图及工作原理 3?电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4.电路的测试 5.分析与评价 附录:元器件清单

1 ?设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz 3. 显示方式:4位十进制数显示。 2. 电路工作原理 频率计总电路图如下所示: 2単汙汕驚工 ---------- k

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3. 电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特 触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示:

L 1 1 r 1 r 闸门电路 3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所 标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计 数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入 72 R2 VA - IS. THZ R 71 C5 lOnF ZFG ■ 丄 D1 X1 N?07 75 D2 jtl NdOOT

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

数字频率计设计 毕业设计

毕业设计(论文)任务书 课题名称数字频率设计课题性质毕业论文 专业楼宇智能化工程技术班级 11级学生姓名学号 113121 指导教师教研室主任系部主任 发放日期 一、课题条件: 1.分析频率计的设计方法; 2.利用现有的仿真软件进行波形仿真; 二、毕业论文(设计)主要内容: 1、测量信号:方波; 2、测量频率范围:1KHZ~9999HZ;10KHZ~100KHZ; 3、显示方式:4位十进制数显示; 4、时基电路由555定时器及分频器组成,555振荡器产生脉冲信号,经分频器分频产生的时基信号,其脉冲宽度分别为:1秒,0.1秒; 5、当被测信号的频率超出测量范围时,报警。 三、计划进度: 1. 资料的收集撰写开题报告 7月18日至9月8日 2. 方案设计 9月9日至9月15日 3. 电路的设计指标分析与确定;后期的电路优化元器件的选择与参数确定 9月16日至11月2日 4. 毕业设计论文的修改、完善 11月3日至11月10日 5. 毕业设计答辩11月15 日至11月20日 6. 毕业设计工作总结11月20日至11月25日 四、主要参考文献: (1)电子技术基础(第三版) (2)电子产品的设计与制作工艺 (3)电子设计技术杂志 (4)现代电子学及应用1 (5)AD (6)数字电子技术基础阎石主编高等教育出版社 指导教师(系)教研室主任 年月日年月日

摘要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N 时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计。 关键词:逻辑控制,计数器,时基(T)电路、输入电路、计数显示电路以及控制电路。

简单数字频率计的设计与制作

简单数字频率计的设计与制作 1结构设计与方案选择 1.1设计要求 (1)要求用直接测量法测量输入信号的频率 (2)输入信号的频率为1~9999HZ 1.2设计原理及方案 数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。 所谓频率就是在单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T(1-1)据此,设计方案框图如图1所示: 图1 数字频率计组成框图 图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率fX。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则们控电路的输出信号持续时间亦准确的等于1s。闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。秒信号结束时闸门关闭,技计数器得的脉冲数N是在1秒时间内的累计数,所以被测频率fX= N Hz。 被测信号fX经整形电路变成计数器所要求的脉冲信号○1,其频率与被测信号的频率相同。时基电路提供标准时间基准信号○2,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。若在闸门时间1s内计数器计得的脉冲个

数为N,则被测信号频率f=NHz,如图2(a)所示,即为数字频率计的组成框图。 图2(a)数字频率计的组成框图 图2(b)数字频率计的工作时序波形 逻辑控制单元的作用有两个: 其一,产生清零脉冲④,使计数器每次从零开始计数; 其二,产生所存信号⑤,是显示器上的数字稳定不变。这些信号之间的时序关系如图2(b)所示数字频率计由脉冲形成电路、时基电路、闸门电路、计数锁存和清零电路、译码显示电路组成。

单片机课程设计-数字频率计

《单片机技术》课程设计说明书 数字频率计 系、部:航空与机械工程系 学生姓名:黄超群 指导教师:江官星职称老师 专业:机械设计制造及其自动化 班级: 1281021班 学号: 128102109 完成时间: 2015年1月

《单片机技术》课程设计任务书 一、设计题目:数字频率计 二、适用班级:1281021班 三、指导教师:江官星 四、设计目的与任务: 学生通过理论设计和实物制作解决相应的实际问题,巩固和运用在《单片机技术》中所学的理论知识和实验技能,掌握单片机应用系统的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 五、设计内容与要求 设计内容 1、数字频率计 设计一个能够测量周期性矩形波信号的频率、周期、脉宽、占空比的频率计。该频率计上电或按键复位后能自动显示系统提示符“P.”,进入测量准备状态。按频率测量键则测量频率;按周期测量键则测量周期;按脉宽测量键则测量脉宽;按占空比测量键则测量占空比。 设计要求 1、以上课题为题,学生也可以自拟课题; 2、编程语言:汇编或C51; 3、计算机打印《单片机技术》课程设计说明书一份; 4、设计时间:一周; 5、实物制作; 6、人员分组:四人一组四实物。 六、《单片机技术》课程设计说明书正文主要内容 参照“《单片机技术》课程设计说明书正文主要内容”文件。 七、《单片机技术》课程设计说明书书写规范格式 参照“《单片机技术》课程设计说明书书写规范格式”文件。 八、参考资料

单片机原理及应用:基于Keil及Proteus/周淇,周旭欣主编.--北京:北京航空航天大学出版社,2014.1。 摘要 本课程设计是一个基于单片机技术的数字频率计。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。本设计以89C51单片机为核心,应用单片机的算术运算和控制功能并采用LED数码显示管将所测频率显示出来。系统简单可靠、操作简易,能基本满足一般情况下的需要。既保证了系统的测频精度,又使系统具有较好的实时性。本频率计设计简洁,便于携带,扩展能力强,适用范围广。 关键字:数字频率计;单片机;计数器;定时器;LED数码显示管

数字频率计

摘要 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行较慢,而且测量频率的范围较小。本方案以单片机为核心,被测信号先进入信号放大电路进行放大,再被送到波形整形电路整形为方波。利用单片机的计数器和定时器的功能对被测信号进行计数。编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示。 本课设硬件方面以单片机AT89S52为核心,通过独立式键盘输入,运用单片机的运算和控制功能并采用数码管将所测频率显示出来。软件方面采用C语言编程,运用定时计数器测量频率,再调显示函数,将测得的结果显示在数码管上。系统简单可靠、操作简易,能基本满足一般情况下的需要。既保证了系统的测频精度,又使系统具有较好的实时性。本频率计设计简洁,便于携带,扩展能力强,适用范围广。 关键词:频率计;AT89S52;数码管

目录 1 设计任务与要求 (1) 1.1 设计课题任务 (1) 1.2 设计内容 (1) 1.3 设计要求 (1) 1.4 设计课题总体方案介绍及工作原理说明 (1) 1.4.1 设计思路 (1) 1.4.2 频率计工作原理 (2) 2 硬件系统的设计 (3) 2.1 单片机AT89S52 (3) 2.2 晶振电路 (3) 2.3 按键电路 (4) 2.4 复位电路 (5) 2.5 显示电路 (5) 2.6 下载电路 (6) 2.7 电源电路 (7) 3 软件系统的设计 (8) 3.1 软件系统各模块功能简要介绍 (8) 3.2 软件系统程序流程框图 (9) 4 调试与分析 (12) 4.1 使用说明 (12) 4.2 结果显示 (12) 4.3 误差分析 (13) 结束语 (15) 致谢 (16) 参考文献 (17) 附录 (18) 附录A 程序 (18) 附录B 电路原理图 (25) 附录C 实物图 (26) 附录D PCB图 (27)

基于Protues数字频率计的设计与仿真

基于Proteus的数字频率计设计与仿真 摘要:本文主要论述了利用单片机AT89C51进行频率、周期、时间间隔、占空比测量的设计过程。该频率计采用测量N个信号波形周期的算法,充分利用单片机AT89C51中三个可编程定时/计数器,结合部分中规模数字电路,克服了基于传统测频原理的频率计的测量精度随被测信号频率的下降而降低的缺点,实现了频率、周期、时间差、占空比的高精度测量,结果的显示。该数字频率计的硬件系统电路由前置整形电路、分频电路、基准信号源、单片机电路和数字显示电路构成。其中单片机电路又由单片机、数据选择器、键盘、状态指示电路构成。软件系统由主程序、键盘子程序、显示子程序、测量子程序、脉冲高、低电平宽度测量子程序构成,由汇编语言编写。通过硬件系统和软件系统的相互配合,成功的实现了频率、周期、时间差、占空比的高精度测量,系统的自校和测量结果的显示。 关键词:数字频率计;周期;单片机 Digital Frequency Measure Design and Simulation Based on Proteus Abstract:This article mainly discusses the design process of us ing single-chip AT89C51to measure frequency, cycle, time interval and duty cycle. U s ing the algorithm of measur ing N signal cycle, mak ing full use of the three programmable timer / counter of single-chip AT89C51, combined with some digital circuits, t he frequency meter overcome s the shortcomings of the measurement accuracy reduces with the reduction of the frequency of the measured signal by t he frequency meter based on the principle of traditional measurement of frequency , achieves high-precision measurements of the frequency, cycle, time difference and duty cycle, displays the results. The hardware system circuit s of the digital frequency meter is made up of the pre-shaping circuit, sub-frequency circuit, reference signal source, single-chip circuit, digital display circuit and DC power supply regulator circuit. Of it, the s ingle-chip circuit consists of single-chip, data selector and keyboards. The s oftware system is made up of main program, keyboard s ubroutine, display subroutine, measurement subroutine, pulse high and low level width measurement subroutine, prepared by the assembly language. T hrough the cooperat ion with each other of the h ardware system and software system,t he frequency meter successfully achieves high-precision measurements of frequency,cycle, time difference, and duty cycle, finishes s ystem calibration and the display of measurement results. Keywords:d igital frequency meter;cycle; single-chip 1绪论 ·1.1课题研究的意义

相关主题
文本预览
相关文档 最新文档