当前位置:文档之家› 电子技术基础实验答案

电子技术基础实验答案

电子技术基础实验答案
电子技术基础实验答案

实验一、常用电子仪器的使用

一、实验目的

1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。

2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。

电路实验箱的结构、基本功能和使用方法。

二、实验原理

在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。

1.信号发生器

信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。

操作要领:

1)按下电源开关。

2)根据需要选定一个波形输出开关按下。

3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在

频率显示屏上显示所需频率即可。

4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。

注意:信号发生器的输出端不允许短路。

2.交流毫伏表

交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。

操作要领:

1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。

2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。

3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。

3.双踪示波器

示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

操作要领:

1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。

2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。

3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替”

和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式,

当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。

4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

开关------根据被观察信号的周期而定(一般信号频率低时,开关应向左旋。反之向右旋)。b)“触

发源选择”开关------选内触发。c)“内触发源选择”开关------应根据示波器的显示方式来定,当

显示方式为单踪时,应选择相应通道(如使用Y1通道应选择Y1内触发源)的内触发源开关按下。

当显示方式为双踪时,可适当选择三个内触发源中的一个开关按下。d)“触发方式”开关------

常置于“自动”位置。当波形稳定情况较差时,再置于“高频”或“常态”位置,此时必须要

调节电平旋钮来稳定波形。

5)在测量波形的幅值和周期时,应分别将Y轴灵敏度“微调”旋钮和扫描速率“微调”旋钮置于“校准”位置(顺时针旋到底)。

三、实验设备

1、信号发生器

2、双踪示波器

3、交流毫伏表

4、万用表

四、实验内容

1.示波器内的校准信号

用机内校准信号(方波:f=1KHz V P—P=1V)对示波器进行自检。

1)输入并调出校准信号波形

①校准信号输出端通过专用电缆与Y1(或Y2)输入通道接通,根据实验原理中有关示波器的描述,正

确设置和调节示波器各控制按钮、有关旋钮,将校准信号波形显示在荧光屏上。

②分别将触发方式开关置“高频”和“常态”位置,然后调节电平旋钮,使波形稳定。

2)校准“校准信号”幅度

将Y轴灵敏度“微调”旋钮置“校准”位置(即顺时针旋到底),Y轴灵敏度开关置适当位置,读取信号幅度,记入表1—1中。

3)校准“校准信号”频率

将扫速“微调”旋钮置“校准”位置,扫速开关置适当位置,读取校准信号周期,记入表1—1中。

2.示波器和毫伏表测量信号参数

令信号发生器输出频率分别为500Hz、1KHz、5KHz,10KHz,有效值均为1V(交流毫伏表测量值)的正弦波信号。

调节示波器扫速开关和Y轴灵敏度开关,测量信号源输出电压周期及峰峰值,计算信号频率及有效值,记入表1—2中。

1)打开模拟电路实验箱的箱盖,熟悉实验箱的结构、功能和使用方法。

2)将万用表水平放置,使用前应检查指针是否在标尺的起点上,如果偏移了,可调节“机械调零”,

使它回到标尺的起点上。测量时注意量程选择应尽可能接近于被测之量,但不能小于被测之量。测电阻时每换一次量程,必须要重新电气调零。

3)用交流电压档测量实验箱上的交流电源电压6V、10V、14V;用直流电压档测量实验箱上的直流电源电压±5V、±12V;用电阻档测量实验箱上的10Ω、1KΩ、10KΩ、100KΩ电阻器,将测量结果记入自拟表格中。

交流电压(V)直流电压(V)电阻(Ω)

标称值 6 10 14 +12 -12 +5 -5 10 1K 10K

实测值

测量仪表万用表万用表V万用表Ω

档位

10V 50V 50V 10V ×1 ×100 ×1K

(量程)

刻度线

4 2 2 3 1

序号

五、实验报告

1.画出各仪器的接线图。

答:各仪器的接线图如下:

2.列表整理实验数据,并进行分析总结。

表1—1的实验数据与标准值完全相同,表1—2的实验数据中与示波器测得的有效值(1.03V)与毫伏表的数据(1V)略有出入(相对误差3%)。产生误差的原因可能是:

(1)视觉误差

(2)仪表误差

3.问答题:

1)某实验需要一个f=1KHz、u i=10mv的正弦波信号,请写出操作步骤。

答:操作步骤:

①将信号发生器和交流毫伏表的黑夹子与黑夹子相接,红夹子与红夹子相接。在开机前先将交流毫伏表量程开关置于较大量程处,待接通电源开关开始测量时,再逐档减小量程到适当位置。

②按下信号发生器的正弦波形输出开关,选择频率范围1K开关按下,然后分别调节频率粗调和细调旋钮,在频率显示屏上显示1KHz即可。

③调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。交流毫伏表量程选择“30mV”档,读数从“0~3”标尺上读取。

2)为了仪器设备的安全,在使用信号发生器和交流毫伏表时,应该注意什么?

答:①在使用信号发生器时,应该注意信号发生器的输出端不允许短路。

②在使用交流毫伏表时,为了防止过载损坏仪表,在开机前和输入端开路情况下,应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。

3)要稳定不同输入通道的波形时,应如何设置内触发源选择开关?

显示方式单踪显示双踪显示

垂直方式开关Y1Y2Y1+Y2交替断续

内触发源开关选择Y1或Y1 /Y2Y2或Y1/ Y2Y1或Y2Y1或Y2

面板上其余按钮在释放(弹出)位置

4)一次实验中,有位同学用一台正常的示波器去观察一个电子电路的输出波形,当他把线路及电源都接通后,在示波器屏幕上没有波形显示,请问可能是什么原因,应该如何操作才能调出波形来?

可能原因解决方法

1、线路方面存在故障排除故障

实验二晶体管共射极单管放大器

一、实验目的

1.学会放大器静态工作点的调式方法和测量方法。

2.掌握放大器电压放大倍数的测试方法及放大器参数对放大倍数的影响。

3.熟悉常用电子仪器及模拟电路实验设备的使用。

二、实验原理

图2—1为电阻分压式工作点稳定单管放大器实验电路图。偏置电阻R B1、R B2组成分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号后,在放大器的输出端便可得到一个与输入信号相位相反、幅值被放大了的输出信号,从而实现了电压放大。

三、实验设备

1、信号发生器

2、双踪示波器

3、交流毫伏表

4、模拟电路实验箱

5、万用表

四、实验内容

1.测量静态工作点

实验电路如图2—1所示,它的静态工作点估算方法为:

U B ≈

2

11B B CC

B R R U R +?

图2—1 共射极单管放大器实验电路图

I E =

E

BE

B R U U -≈Ic U CE = U C

C -I C (R C +R E )

实验中测量放大器的静态工作点,应在输入信号为零的情况下进行。

1)没通电前,将放大器输入端与地端短接,接好电源线(注意12V 电源位置)。 2)检查接线无误后,接通电源。

3)用万用表的直流10V 挡测量U E = 2V 左右,如果偏差太大可调节静态工作点(电位器RP )。然后测量U B 、U C ,记入表2—1中。

表2—1

测 量 值 计 算 值

U B (V ) U E (V ) U C (V ) R B2(K Ω) U BE (V ) U CE (V ) I C (mA ) 2.6

2

7.2

60

0.6

5.2

2

4)关掉电源,断开开关S ,用万用表的欧姆挡(1×1K )测量R B2。将所有测量结果记入表2—1中。

5)根据实验结果可用:I C ≈I E =

E E R U 或I C =C

C

CC R U U U BE =U B -U E U CE =U C -U E

计算出放大器的静态工作点。 2.测量电压放大倍数

各仪器与放大器之间的连接图

关掉电源,各电子仪器可按上图连接,为防止干扰,各仪器的公共端必须连在一起后接在公共接地端上。

1)检查线路无误后,接通电源。从信号发生器输出一个频率为1KHz 、幅值为10mv (用毫伏表测量u i )的正弦信号加入到放大器输入端。

2)用示波器观察放大器输出电压的波形,在波形不失真的条件下用交流毫伏表测量下表中三种情况下的输出电压值,记入表中。

表2—2

3)用双踪示波器观察输入和输出波形的相位关系,并描绘它们的波形。 *4.测量输入电阻和输出电阻 根据定义:输入电阻 S i S i

i i i R u u u I u R -==

输出电阻 L L

O R u u R )(10

-=

表2—3

置R C =2.4K Ω,R L =2.4K Ω,I C =2.0mA ,输入f=1KHz ,u i

=10mV 的正弦信号,在输出电压波形不是真的情况下,用交流毫伏表测出u S 、u i 和u L 记入表2—3中。断开负载电阻R L ,保持u S 不变,测量输出电压u 0,记入表2—3中。

五、实验报告

1.列表整理实验结果,把实测的静态工作点与理论值进行比较、分析。

答:BE B -U E =0.6V ,而理论为0.7V ,产生误差的原因可能是U B 、U E 的值接近,这种接近的两个量相减的间接测量,则合成相对误差就比较大了。

2.分析静态工作点对放大器性能的影响。

答:静态工作点是否合适,对放大器的性能和输出波形都有很大影响。

如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u。的负半周将被削底;

如工作点偏低则易产生截止,即u。的正半周被缩顶(一般截止失真不如饱和失真明显)。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态测试,即在放大器的输入端加入一定的ui,以检查输出电压u。的大小和波形是否满足要求。如不满足,则应调节静态工作点的位置。

3.怎样测量R B2阻值?

答:测量在线电阻时,要确认被测电路没有并联支路并且被测电路所有电源已关断及所有电容已完全放电时,才可进行;因此本实验测量R B2时要将开关K断开。测量前先将开关转到电阻X1K档,然后把红、黑表笔短路,调整“0Ω”调整器,使指针指在0Ω位置上(万用表测量电阻时不同倍率档的零点不同,每换一档都应重新进行一次调零。),再把红、黑表笔分开去测被测电阻的两端,即可测出被测电阻R B2的阻值。

4.总结放大器的参数对电压放大倍数的影响及输入输出波形的相位如何。

答:由表2—2的实验结果可知:在静态工作点相同情况下

①R L越大,A V越大;R L越小,A V越小;

②R C越大,A V越大;R C越小,A V越小;A V与R L//R C成正比。实验满足

be C

L V r R

R A //

β

-

=公式。

③输入u i与输出u o的波形相位相反。

实验五组合逻辑电路的设计

一、实验目的

学习组合逻辑电路的设计与测试方法。

二、实验用仪器、仪表

数字电路实验箱、万用表、74LS00

三、设计任务

设计一个四人无弃权表决电路(多数赞成则提案通过),本设计要求采用4-2输入与非门实现。

设计步骤:(1)根据题意列出真值表如表1所示,再填入卡诺表2中。

表1

表2

(2)由卡诺图得出逻辑表达式,并演化成“与非”的形式 ① Z =ABC +BCD +ACD +ABD (8个与非门)

=AB (C +D )+CD (A +B ) 或BD (A +C )+AC (B +D ) =AB (BC +AD )+CD (BC +AD) 或BD (AD +BC )+AC (BC +AD ) =(BC +AD )(AB +CD ) 或(BC +AD )(AC +BD ) =CD AB AD BC ??? 或BD AC AD BC ???

② Z =ABC +BCD +ACD +ABD (8个与非门)

=AB (C +D )+CD (A +B ) =AB (AC +BD )+CD (AC +BD) =(AC +BD )(AB +CD )=CD AB BD AC ???

③ Z =ABC +BCD +ACD +ABD (8个与非门)

=A (BC +BD )+C (AD +BD )=BD AD C BD BC A ?????

或=A (BC +CD )+B (CD +AD )=AD CD B CD BC A ????? 或=A (BC +CD )+D (AC +AD )=BC AC D BD BC A ?????

或=B (AC +AD )+D (AC +BC )=BC AC D AD AC B ?????

④ Z =ABC +BCD +ACD +ABD (13个与非门) =AB (C +D )+CD (A +B ) =

B A CD D

C AB ??+??

=B A CD D C AB ??+?? =)()(B A CD D C AB ?????

实验六 用中规模组合逻辑器件设计组合逻辑电路

一、实验目的

1.学习中规模集成数据选择器的逻辑功能和使用方法。

2.学习使用中规模集成芯片实现多功能组合逻辑电路的方法。 二、设计任务

用数据选择器74LS151或3/8线译码器设计一个多功能组合逻辑电路。该电路具有两个控制端C 1C 0,控制着电路的功能,当C 1C 0=00时,电路实现对输入的两个信号的或的功能;当C 1C 0=01时,电路实现对输入的两个信号的与的功能;当C 1C 0=10时,电路实现对输入的两个信号的异或的功能;当C 1C 0=11时,电路实现对输入的两个信号的同或的功能。 三、设计过程

(1)根据题意列出真值表如下所示,再填入卡诺图中。

(2)、建立Y (C 1、C 0、A 、B )的卡诺图及降维图,如图所示。

F函数降维图(图中变量C1C0A换成C1C0B结果不变)

(3)、减少Y函数的输入变量,将4变量减为3变量,通过降维来实现。如上图所示。这时,数据选择器的输入端D0 ~ D7分别为:

D0=B, D1=1, D2 =0, D3 =B, D4 =B, D5 =B, D6 =B, D7 =B

6

B

5

B

(4)、F函数逻辑图如下图所示

四、实验用仪器、仪表

数字电路实验箱、万用表、74LS151、74LS00。

五、实验步骤

1.检查导线及器件好坏。

2.按上图连接电路。C1、C0、A、B分别接逻辑开关,检查无误后接通电源。

3.按真值表逐项进行测试并检查是否正确,如有故障设法排除。

4.结果无误后记录数据后拆线并整理实验设备。

C10 0 1 1

C00 1 0 1

A 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

B 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

Y 0 1 1 1 0 0 0 1 0 1 1 0 1 0 0 1

实验证明,实验数据与设计值完全一致。设计正确。

六、设计和实验过程的收获与体会。

AB

C1C0

00 01 11 10

00 0 1 1 1

01 0 0 1 0

11 1 0 1 0

10 0 1 0 1

A

C1C0

0 1

00 B011

01 02B3

11 B6B7

10 B4B5

1、设计过程的收获与体会:

① 设计前要将真值表列出。

② 用低维数据选择器实现高维逻辑函数时,首先要降维,将多出的变量作为记图变量。当需要降维处理时,将谁作为记图变量是任意的,但结果是不同的。因此要进行降维时,要确定哪几个变量作为数据选择器的地址输入变量。

③ 可用Electronics Workbench 进行仿真。以验证设计正确与否。 2、实验过程的收获与体会:

① 74LS151的第七脚必须接低电平;

② 出现故障时,首先检查地址输入端的电平,看其状态是否与相接的逻辑电平开关相同。如不相符,则可能存在断路现象。如相同,则检查其输出是否与相应数据端输入相同,如相同,可能存在设计错误,如不同,则可能器件已损坏。

③ 实验逻辑电路图最好把集成块的引脚标上,以便接线和检查。

1、 用数据选择器74LS151或3/8线译码器设计一个多功能组合逻辑电路。该电路具有两个控制端C 1C 0,

控制着电路的功能,当C 1C 0=00时,电路实现对输入的两个信号的或的功能;当C 1C 0=01时,电路实现对输入的两个信号的与的功能;当C 1C 0=10时,电路实现对输入的两个信号的异或的功能;当C 1C 0=11时,电路实现对输入的两个信号的同或的功能。

) ()()()(01010101B A C C B A C C B A C C B A C C Y +⊕+?++=

B A

C C B A C C B A C C B A C C AB C C A A B C C A C C Y )(01010101010101+++++++= B A C C B A C C B A C C B A C C AB C C B A C C AB C C A C C Y 0101010101010101+++++++= B A C C B A C C B A C C B A C C AB C C B A C C B A C C Y )1(01010101010101+++++++=

设A 2=C 1 A 1=C 0 A 0=A ⊙

B m B m B m B m B m 0m 1m B m 76543210?+?+?+?+?+?+?+?=Y

0 1 21657430========D D B D D B D D D D

用138器件:

Y= 1C 0C (A+B)+1C C 0 (AB)+ C 10C (AB)+ C 1C 0 (AB) 设D=C 1 C=C 0 B=A A=A

1512 1097321m m m m m m m m +++++++=Y

1512 10973 21m m m m m m m m ???????= ( C 1=2S (1)=3S (1) = S 1 (2)

(实验用74LS138一块、74LS20一块、74LS00一块)

2、 用3—8译码器74LS138设计一个三位二进制码与循环码的可逆转换电路。K 为控制变量。 (1)根据题意列出真值表如下所示:

22A Q =

)m m m (m )m m m (m 543254321+++++++=K K Q 54 325432m m m m )m m m (m ???=+++=

)m m m m ()m m m m (742165210+++++++=K K Q 74 2165 21m m m m m m m m ????+????=K K

74 2165 21m m m m m m m m ?????????=K K (实验用74LS138一块、74LS20二块、74LS00一块 共

四块) 或

74 6521m m m m )m (m ?+??++=K K

)m (m )m (m )m (m 746521+++++=K K

7465 21m m m m K m m ???????=K (实验用74LS138一块、74LS20一块、74LS00二块 共四块)

3、 用3—8译码器74LS138设计一个二进制全加/全减两用电路。K 为控制变量。 (1)根据题意列出真值表如下所示:

S n =K (m 1 + m 2 + m 4 + m 7 )+K (m 1 + m 2 + m 4 + m 7 ) = m 1 + m 2 + m 4 + m 7=74 21m m m m ???

C n =K (m 3 + m 5 + m 6 + m 7 )+ K (m 1 + m 2 + m 3 + m 7 )

=73 2176 53m m m m m m m m ?????????K K

( m 3 + m 7)+ K ( m 5 + m 6)+ K (m 1 + m 2 )= ( m 3 + m 7)+ K 65m m ?+ K 21m m ?=2165 73m m m m K m m ?????K (实验用74LS138一块、74LS20一块、74LS00二块 共四块)

实验七 设计一个四位可逆二进制计数器

一、实验目的

掌握中规模集成计数器的使用方法及功能测试方法。

二、实验内容及要求

用D 触发器设计一个异步四位二进制可逆计数器。

三、设计过程

(1)根据题意列出加计数状态表和驱动表,如下表所示。

(2)用卡诺图化简,如下图所示。求得各位触器的驱动信号的表达式

33Q D =

22Q D =

11Q D =

00Q D =

(2)用卡诺图化简,如下图所示。求得各位触器的时钟方程的表达式

23Q CP =

12Q CP =

01Q CP =

CP CP =0

(3)根据题意列出减计数状态表和驱动表,如下表所示。

(2)用卡诺图化简,如下图所示。求得各位触器的驱动信号的表达式

33Q D =

22Q D =

11Q D =

00Q D =

(2)用卡诺图化简,如下图所示。求得各位触器的时钟方程的表达式

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

电子技术基础试题

电子技术基础(三)试题 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.以下关于脉动直流电压的描述中,正确的是() A.电压的大小和方向均不随时间变化 B.电压的大小随时间变化,但方向不随时间变化 C.电压的大小不随时间变化,但方向随时间变化 D.电压的大小和方向均随时间变化 2.以下关于理想电流源特性的描述中,正确的是() A.理想电流源的信号源内阻接近于零 B.理想电流源任何时候都可以串联在一起 C.理想电流源的输出电流与负载无关 D.理想电流源两端的电压与负载无关 3.电路如题3图所示,已知相量电流则向量电流I为() A.10∠90° (A) B.10∠-90° (A) C.2∠45° (A) D.2∠-45° (A) 4.N型半导体中的多数载流子是() A.自由电子B.空穴 C.五价杂质原子D.五价杂质离子 5.已知工作在放大区的某硅晶体三极管的三个电极电位 如题5图所示,则a、b、c三个电极分别为() A.发射极、基极、集电极 B.发射极、集电极、基极 C.基极、发射极、集电极 D.基极、集电极、发射极 6.理想运放的差模输入电阻R id和输出电阻R O分别为() A.R id=0,R O=0 B.R id=0,R O=∞ C.R id=∞,R O=0 D.R id=∞,R O=∞ 7.为避免集成运放因输入电压过高造成输入级损坏,在两输入端间应采取的措施是() A.串联两个同向的二极管B.串联两个反向的二极管 C.并联两个同向的二极管D.并联两个反向的二极管 8.在单相半波整流电路中,如变压器副方电压的有效值为U2,则二极管所承受的最高反向电压为()

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)《电工电子技术基础》试题库(附有答案)

一、填空题 1.已知图中 U1=2V, U2=-8V,则U AB=-10。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是 18 Ω;若把它们并联,等效电阻 2Ω;若两个并联后再与第三个串联,等效电阻是 9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB= -24 V。 7.直流电路如图所示,R1所消耗的功率为2W,则R2的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。 9.在直流电路中,电感可以看作短路,电容可以看作断路。 9.我国工业交流电采用的标准频率是 50 Hz。 10.三相对称负载作三角形联接时,线电流I L与相电流I P间的关系是:I P=3 I L。 11.电阻元件是耗能元件,电容元件是储能元件。

12.已知一正弦电压u=311sin(628t-60o)V ,则其最大值为 311 V ,频率为 100 Hz ,初相位为 -60o 。 13.在纯电阻交流电路中,已知电路端电压u=311sin(314t-60o)V ,电阻R=10Ω,则电流I=22A,电压与电流的相位差φ= 0o ,电阻消耗的功率P= 4840 W 。 14.三角形联结的三相对称负载,若线电压为380 V ,则相电压为 380 V ;若相电流为10 A ,则线电流为 17.32 A 。 15.式Q C =I 2X C 是表示电容元件在正弦电路中的 无功 功率计算公式。 16.正弦交流电压的最大值U m 与其有效值U 之比为 2 。 17.电感元件是一种储能元件,可将输入的电能转化为 磁场 能量储存起来。 18.若三相电动势依次达到最大值的次序为e 1—e 2—e 3,则称此种相序为 正序 。 19.在正弦交流电路中,电源的频率越高,电感元件的感抗越 大 。 20.已知正弦交流电压的有效值为200V ,频率为100Hz ,初相角为30o,则其瞬时值表达式u= 282.8sin (628t+30o) 。 21.正弦量的三要素是 最大值或有效值 、 频率 和 初相位 。 22.对称三相电源是指三个 幅值 相同、 频率 相同和 相位互差120o 的电动势电源。 23.电路有 通路 、 开路 和 短路 三种工作状态。当电路中电流0 R U I S 、端电压U =0时,此种状态称作 短路 ,这种情况下电源产生的功率全部消耗在 内阻 上。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电子技术基础期末考试试题及答案

10.电路如下图所示,若初态都为0,则=1的是()

精品文档 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

精品文档 参考答案及评分标准 一、单项选择题(本大题共15小题,每小题2分,共30分) 二、判断题(本大题共5小题,每小题3分,共15分) 三、填空题(本大题共5小题,每小题4分,共20分) 21.不确定,翻转 22.并行和串行 23.V D -I D 24.电容、电感、复式 25.5.3V 四、应用题(本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V 接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q 2Q 1Q 0=000 (1)第一个CP 作用后,Q 0=0→1,0Q =1→0=CP 1,对F 1触发无效,所以Q 1保持0态不变。而F 2没有接到任何触发信号,所以Q 2亦保持0态不变。第二个CP 作用后,Q 0=1→0,而0Q =0→1=CP 1,对F 1属有效触发,所以Q 1=0→1。而1Q =1→0=CP 2,对F 2无效,所以F 2仍为原态即0态。依次按规律分析,可得如下计数状态表为 (2)从状态表和电路结构可知,该计数电路为三位异步二进制加法计数电路。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电子技术基础考试必备十套试题,有答案

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

大学计算机基础实验一到十

大学计算机基础 实 验 报 告 教学班级:12 学号:20104900 姓名:郭建光课程教师:实验辅导教师: 西南交通大学软件学院 2010年9月

预备实验微机基本操作 教学班级:_______ 学号:__________ 姓名:___________ 实验日期:___________ 实验地点:_________(机房) 指导教师签名:__________ 实验成绩:___________ 一、实验目的 1.掌握计算机系统的启动与关闭; 2.掌握常用输入设备、输出设备、存储设备的用法。 二、实验任务 1.启动与关闭计算机。 2.利用“键盘盲打练习”软件进行键盘的指法练习。 3.硬盘信息查询。 4.硬盘处理。 三、实验检查内容 [ ] 1.能否热启动计算机 [ ] 2.能否下载打字软件(辅导老师可协助将软件下载到教师机上,学生通过网上邻居获取) [ ] 3.能否安装打字软件 [ ] 4.打字速度 [ ] 5.查看C区总容量 [ ] 6.查看磁盘整理程序对C区的分析报告,看是否需要整理

预备实验网中信息的搜索与获取教学班级:12 学号:姓名: 实验日期:___________ 实验地点:X7307 (机房) 指导教师签名:__________ 实验成绩:___________ 一、实验目的 1.掌握搜索引擎的使用; 2.掌握下载与解压缩的方法; 3.掌握软件安装的方法。 二、实验任务 1.利用搜索引擎搜索成都商报,阅读当日报纸新闻。 2.利用下载工具FlashGet在网上下载“NetAnts”软件压缩包,利用压缩工具winRar解压缩该包,并安装该软件。 三、实验检查内容 [ ] 1.写出当日成都商报的头版头条标题 [ ] 2.是否下载NetAnts软件 [ ] 3.是否将NetAnts软件安装在D区

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

大学计算机基础实验指导(全套)

实验一 Windows XP的基本设置(2学时) 一、实验目的 1、掌握应用程序的安装与删除,启动与退出和快捷方式的创建与删除; 2、掌握Windows xp系统设置中显示器的设置,系统日期与时间的设置,中文输入法的设置,打印机的设置,区域的设置;了解键盘和鼠标的设置,用户和密码的设置; 3、了解Windows xp附件的使用:画图,计算器,记事本,写字板,系统工具等。 二、实验内容及步骤 1、完成快捷方式的创建与删除。 ①在桌面上建立计算器程序"Calc.exe"的快捷方式; ②将此快捷方式重命名为"计算器"; ③将此快捷方式添加到"开始"菜单的"程序"项中; ④删除桌面上"计算器"的快捷图标。 2、完成以下系统设置。 ①设置屏幕保护程序为"滚动字幕",文字内容为"计算机考试",文字格式:字体"黑体",字号"一号",等待时间为"5分钟"。 ②设置Windows的墙纸为"Clouds",居中。 ③设置Windows的货币符号为"$",货币符号位置为"$1。1",负数格式为"-$1。1"。 ④设置系统数字样式:小数位数为"2",数字分组符号为",",组中数字的个数为"3"。 ⑥设置Windows的短日期样式为"yy-MM-dd" 。 ⑦设置Windows的时间显示样式为"HH:mm:ss",上午符号为"AM",下午符号为"PM"。 ⑧设置任务栏有关属性为"自动隐藏"和"不显示时钟"。 3、利用"画图"程序完成以下任务,递交任务结果。 ①在"画图"程序中,绘制一幅有树和房屋的风景图。 ②在图片中插入文字解释,如"这是我的家"。 ③以"我的家"+"班级和学号"进行存盘,观察文件扩展名。

电子技术基础考试试卷

电子技术基础考试试卷 一、填空题(每题2分,共20分) 1.实际使用的电源,按其外特性可以分为()和()。 2.有一种物质,它的导电性介于导体和绝缘体之间,这就是(),如硅、锗。 3.数字工程中,按存取方式分类,常用的存储器有顺序方向存储器,()存储器,()存储器三种。 4.电路的功能大致可以分为两类,一类是作为()的传输和转换,如电力电路;一类是作为实现()的传递和处理,如信号电路。5.如右图所示的电路中,B点的电位为()V。 6.测得工作在放大区的晶体三极管三个电极的电位分别为+2.3V、+2V、+8V,则此管的三个电极先后为基极、()极和()极。7.存储器的()和()是反映系统性能的两个重要指标。_ 8.某放大电路在负载开路时的输出电压为4V, 接入3KΩ的负载电阻后输出电压为3V,这说明放大电路的输出电阻为()。 9.将十进制数18.625化为二进制数为(),化为十六进制数为()。 10.设输入变量为A、B、C,判别当三个变量中有奇数个1时,函数F=1, 否则F=0, 实现它的异或表达式为F=()。

二、单项选择题(每小题2分,共10分) 1.PN结加上反向电压,自建电场与外加电场方向(),内电场()。 A. 相反加强 B. 一致加强 C. 相反减弱 D. 一致减弱 2.下列电路中,()不是时序电路。 A. 计数器 B. 触发器 C. 寄存器 D. 译码器 3.图示电路中,AB之间电压V对应的方程式为()。 A.V=IR+E B.V=IR-E C.V=-IR-E D. -V=IR+E 4.右图是下面哪类场效应管的图符? A. N沟道耗尽型场效应管 B. P沟道耗尽型场效应管 C. N沟道耗尽型MOS管 D. P沟道耗尽型MOS管 5.组合逻辑电路险象的消除方法不包括() A. 多余项插入法 B. 改变电路结构 C. 加接惯性环节 D. 消除选通脉冲 三、分析计算题(共70分) 1.求图中电路中a、b、c各点的电位。(其中E1=5V, E2=10V,

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

《电子技术基础》考试试题

共4页 第1页 《电子技术基础》考试试题 时量:120分钟 总分:100分 (每小题1.5分,共15分)单项选择题: 1、某放大电路在负载开路时的输出电压为 4V ,接入12K Q 的负载 电阻后,输出电压降为 3V ,这说明放大电路的输出电阻为 A. 10K Q B.2 K Q C.4 K Q D.3 K Q 2、 稳压二极管工作于伏安特性曲线的 ________ 区。 A.正向特性 B. 反向饱和特性 C.反向击穿特性 D. 不确定 3、 为了使高内阻信号源与低阻负载很好地配合,可以在信号源与 低阻负载间接入 ______ 。 A.共射电路 B. C.共集电路 D. 4、多级负反馈放大电路在下列 A.回路增益AF 大 B. C ?闭环放大倍数很大 D. 放大器的级数少 5、 工作在电压比较器中的运放与工作在运算电路中的运放的主要 区别是,前者的运放通常工作在 ________ 。 A .开环或正反馈状态 B. 深度负反馈状态 C.放大状态 D. 线性工作状态 6、 差分放大电路由双端输入变为单端输入,差模电压增益则 A.增加一倍 B. 为双端输入时的1/2 C.不变 D. 不确定 7、对于有二个输入变量和一个输出变量的逻辑问题,由于状态赋 值的不同,列出的真值表可以有 _________ 。 A. 一种 B.二种 C.三种 D.四种 8 A ? B 函数表达式为 ________ : 共基电路 共集一共基串联电路 —情况下容易引起自激。 反馈系数小

C. A B D. AB AB 共4页 第2页 A. AB AB B. A+B 9、 与函数式 A+ABC 相等的表达式为 _________ 。 A. A+B B. A+C C. A+BC D. BC 10、 将二进制数(1110100) 2转换为十进制数应为 ________ 。 A. 15 B. 116 C. 110 D. 126 、(本题10分)用图1所示的1片双4选1的数据选择器,搭建一 位全加器电路,该电路的输入变量为 A (加数)、B (加数)、C I (低 位来的进位信号);输出变量为S (和),C O (向高位的进位信号)。 三、 (本题10分)用卡诺图化简下列逻辑函数为最简与或表达式。 1. 丫1 二' m (0,1,2,5,6,7,8,9,13,14) 2. 丫2「m (0,2,4,5,7,13) ' d (8,9,10,11,14,15) 四、 (本题10分)电路如图 2所示,试画出在所示 C 脉冲作用下 QI 和Q2端的信号波形,设两个触发器的初始状态为 0。

大学计算机基础实验报告

《大学计算机基础》课程实验报告 第二章中文操作系统Windows XP 思考题 1. 将一个文件删除掉,然后清空回收站,该文件还可以恢复到原来位置吗? 答:一般是很难恢复的,依靠第三方软件:(如:EasyRecovery),在原来文件所在的硬盘扇区没有写入了新的文件的前提下,是有可能恢复的。如果原来文件所在的硬盘扇区写入了新的文件,则不可能恢复。 2. 如何在桌面上新建一个“计算器”的快捷方式?请列出两种方法。 答:方法(1):在桌面按鼠标左键选:“开始”--“程序”--“附件”--“计算器”,选中后按鼠标右键,在快捷菜单中选--“发送”--“桌面快捷方式”。 方法(2):在桌面按鼠标左键选:“开始”--“程序”-“-附件”--“计算器”,选中后按鼠标右键,在快捷菜单中选-“复制”,再转到桌面,在任意空白处按鼠标右键,在快捷菜单中选-“粘贴”。 方法(3):在桌面按鼠标左键选:“开始”--“程序”--“附件”--“计算器”,选中后按鼠标右键,在快捷菜单中选-属性-查找目标,在所在的目录C:\WINDOWS\system32找到计数器的可执行文件calc,将这个文件复制粘贴到桌面即可,也可将此文件创建一个快捷方式再复制到桌面。 3. 将“我的文档”文件夹中所有本月建立的文件复制到桌面上一个“档案1”文件夹中,请叙述其操作过程。 答:方法一:在桌面双击“我的文档”或双击此目录所在的位置:(如c:\My Documents),进入该目录。然后在此目录下空白位置右键单击,在快捷菜单中选择-“排列图标”--“修改时间”,将所有文件按时间排顺序,便于查找选择,然后按住CTRL键,鼠标分别单击各本月所建的文件选中它们,选中后按鼠标右键,在快捷菜单中选-“复制”,再转到桌面,双击进入“档案1”文件夹中,在任意空白处按鼠标右键,在快捷菜单中选-“粘贴”。 方法二:利用Windows的搜索功能,在“在这里寻找”处选择确定‘我的文档’所在路径,然后选“什么时候修改的”,然后选“指定日期”,填写从本年本月的一号到操作日。这样再搜索就能列出所需文件,接下来粘贴复制即可。 4. 发现系统没有Windows的附件和常用工具,如何安装它们?请叙述其操作过程。 答:进入“我的电脑”,在:“系统任务”下选“添加/删除程序”进入,在里面左方的菜单中选“添加新程序”或“添加/删除WINDOWS组件”,添加所需的程序,附件和常用工具,这时可能需要在光驱中插入WINDOWS XP 的安装盘,按提示在需要时插入即可。有些时候,系统可能会要求重启,这时需要重启系统。 5. 如何查找文件名以auto开头的文件? 答:“桌面”按开始,选“搜索”--“文件或文件夹”,查找“所有文件和文件夹”,在光标处输入“auto*.* ”,再按“搜索”进行搜索即可。 如果是在DOS或虚拟DOS下,只需:dir a:\auto*.*/ah/s dir c:\auto*.*/ah/s

相关主题
文本预览
相关文档 最新文档