当前位置:文档之家› 西华大学数字电子技术实验报告

西华大学数字电子技术实验报告

西华大学数字电子技术实验报告
西华大学数字电子技术实验报告

实验报告

实验一门电路设计

1.1 实验目的

1、学习基本门电路的设计方法;

2、了解VHDL语言的基本设计思想;

3、掌握Quartus II的基本使用步骤。

1.2 实验内容

使用VHDL语言实现2输入或非门并仿真验证。

1.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

---------------------------------------------------------

ENTITY Exp1_nor2 IS

PORT(

nor2_a: IN STD_LOGIC;

nor2_b: IN STD_LOGIC;

nor2_out: OUT STD_LOGIC

);

END ENTITY Exp1_nor2;

----------------------------------------------------------

ARCHITECTURE Behavior O F Exp1_nor2 IS

BEGIN

nor2_out <= nor2_a nor nor2_b;

END ARCHITECTURE Behavior;

1.4仿真波形图

从图中可以分析出,只有当nor2_a和nor2_b同时为低电平时,

或非门输出nor2_out才为高电平,否则为低电平,这正符合或非门的逻辑关系。

1.5问题讨论

或非门的应用:跟与门、或门、与非门一样,或非门是数字逻辑电路的基本元件,实现逻辑或非功能。因此,或非门被广泛应用于其他数字电路中,如在TTL和CMOS集成电路中都有各种标准逻辑芯片系列。

1.6 心得体会

这是自己第一次运用QuartusⅡ软件进行数字电路设计,虽然本次实验确实很简单,但是由于是第一次,对软件不熟悉,又加上是英文界面,所以真正操作起来显得比较迟钝,一些相关的步骤也不得不参考其他教程。尤其是在进行编写VHDL程序时,经常遗漏小细节,导致编译始终不成功。不过经过几番的实践后,就基本差不多掌握了其中的要领。

实验二8线-3线编码器

2.1 实验目的

1、掌握8-3编码器的工作原理;

2、学习8-3编码器的设计方法;

3、进一步了解VHDL语言的基本设计思想;

4、熟练掌握Quartus II 的基本使用步骤。

2.2 实验内容

根据8-3线编码器的基本原理,用VHDL完成8-3线编码器,并在实验平台上验证。

2.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

---------------------------------------------------------

ENTITY Exp2_83coder IS

PORT(

a: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

b: out STD_LOGIC_VECTOR(2 DOWNTO 0)

);

END ENTITY Exp2_83coder;

---------------------------------------------------------

ARCHITECTURE Behavior OF Exp2_83coder IS

BEGIN

PROCESS(a)

BEGIN

CASE a IS

WHEN "00000001" => b <="000";

WHEN "00000010" => b <="001";

WHEN "00000100" => b <="010";

WHEN "00001000" => b <="011";

WHEN "00010000" => b <="100";

WHEN "00100000" => b <="101";

WHEN "01000000" => b <="110";

WHEN OTHERS => b <="111";

END CASE;

END PROCESS;

----------------------------------------------------------

END ARCHITECTURE Behavior;

2.4仿真波形图

很明显,可以看出该仿真图符合83编码器的逻辑关系(例如图中输入为0001000时,输出为100)。

2.5 实验验证

先拨动实验板上右下方的8位拨码开关的所有位于ON的位置(此时拨码开关全为低电平),在本实验中,1-8位拨码开关分别表示83编码器的I0-I7信号,LD1,LD2,LD3则表示输出信号Y0到Y2,从而模拟出83编码器的状态。若1,2,3位均不拨动,则发现LD1,LD2,LD3均不亮;若只拨动1位,则发现LD1,LD2,LD3均亮;若只拨动2位,则发现LD1,LD2亮,LD3不亮,其他情况可类似推知,从而验证了设计的正确性。

实验三3线-8线译码器

3.1 实验目的

同理于实验二。

3.2 实验内容

同理于实验二。

3.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

----------------------------------------------------------------

ENTITY Exp3_38decoder IS

PORT(

A0,A1,A2: IN STD_LOGIC;

S1,S2,S3: IN STD_LOGIC;

y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );

END ENTITY Exp3_38decoder;

---------------------------------------------------------

ARCHITECTURE Behavior OF Exp3_38decoder IS SIGNAL S : STD_LOGIC;

SIGNAL A : STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

S <= S2 OR S3;

A <= A2 & A1 & A0;

PROCESS(A0,A1,A2,S1,S2,S3)

BEGIN

IF S1 = '0' THEN y <= "11111111";

ELSIF S ='1' THEN y <= "11111111";

ELSE

CASE A IS

WHEN "000" => y <= "11111110";

WHEN "001" => y <= "11111101";

WHEN "010" => y <= "11111011";

WHEN "011" => y <= "11110111";

WHEN "100" => y <= "11101111";

WHEN "101" => y <= "11011111";

WHEN "110" => y <= "10111111";

WHEN OTHERS => y <= "01111111";

END CASE;

END IF ;

END PROCESS;

---------------------------------------------------------

END ARCHITECTURE Behavior;

3.4仿真波形图

很明显,可以看出该仿真图符合38译码器的逻辑关系(在图中蓝线的右边,此时S1=1,S2=0,S3=0的条件下,当输入为000时,输出为11111110,其他情况也可以从图中看出,而若不满足这条件的话,输出就为11111111)。

3.5 实验验证

先拨动实验板上右下方的8位拨码开关的所有位于ON的位置(此时拨码开关全为低电平)。在本实验中1,2,3位拨码开关分别表示38译码器的A0,A1,A2信号,而6,7,8位分别表示38译码器的S1,S2,S3信号,LD1到LD8分别表示Y0到Y7译码输出信号,从而模拟出38译码器的状态。可以发现只有当G1=1,G2A= 0,G2B=0时,38译码器才能正常工作。在此条件下,若1,2,3位均不拨动,则发现只有LD1灯亮;若只拨动1位,则发现只有LD2灯亮;若拨动1,2位,则发现只有LD4灯亮,其他情况可类似推知。

实验四四选一数据选择器

4.1 实验目的

同理于实验三。

4.2 实验内容

同理于实验三。

4.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

----------------------------------------------------------------

ENTITY Exp4_4c1dataselector IS

PORT(

d0,d1,d2,d3: IN STD_LOGIC;

a0,a1: IN STD_LOGIC;

s: IN STD_LOGIC;

x:OUT STD_LOGIC

);

END ENTITY Exp4_4c1dataselector ;

---------------------------------------------------------

ARCHITECTURE Behavior OF Exp4_4c1dataselector IS SIGNAL a: STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

a <= a1 & a0;

PROCESS(s,d0,d1,d2,d3,a0,a1)

BEGIN

IF(s='0') THEN

IF a= "00" THEN x<=d0;

ELSIF a="01" THEN x<=d1;

ELSIF a="10" THEN x<=d2;

ELSE x<=d3;

END IF;

ELSE x<='0';

END IF;

END PROCESS;

--------------------------------------------------

END ARCHITECTURE Behavior;

4.4仿真波形图

很明显,可以看出该仿真图符合四选一数据选择器的逻辑关系(在图中蓝线的左半边,此时在s=0的条件下,当a=00,可以看出输出的波形同输入为0的波形一样,其他输入情况也可以从图中明显看出,而当s=1时,输出不与谁一样,只输出0)。

4.5 实验验证

先拨动实验板上右下方的8位拨码开关的所有位于ON的位置(此时拨码开关全为低电平)。在本实验中1,2位拨码开关分别表示的四选一数据选择器A0,A1信号,而4位作为四选一数据选择器的s信号,5,6,7,8分别表示四选一数据选择器的d0,d1,d2,d3信号,LED1则作为输出信号,从而模拟出四选一数据选择器的状态。可以发现只有当s=0时,四选一数据选择器才能正常工作。在此条件下,若1,2位均不拨动,此时LED1取决于5位拨码开关,即若拨动5位,则LED1不亮,反之则亮;若只拨动1位,此时LED1取决于6位,情况同理于5位,其他情况可类似推知。

实验五BCD七段显示译码器

5.1 实验目的

同理于实验四。

5.2 实验内容

同理于实验四。

5.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

---------------------------------------------------------

ENTITY Exp5_7bitDigitron IS

PORT(

xin: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

yout: OUT STD_LOGIC_VECTOR(6 DOWNTO 0) );

END ENTITY Exp5_7bitDigitron;

---------------------------------------------------------

ARCHITECTURE behavior OF Exp5_7bitDigitron IS

BEGIN

PROCESS(xin)

BEGIN

CASE xin IS

WHEN "0000" => yout <="0111111";--0

WHEN "0001" => yout <="0000110";--1

WHEN "0010" => yout <="1011011";--2

WHEN "0011" => yout <="1001111";--3

WHEN "0100" => yout <="1100110";--4

WHEN "0101" => yout <="1101101";--5

WHEN "0110" => yout <="1111101";--6

WHEN "0111" => yout <="0100111";--7

WHEN "1000" => yout <="1111111";--8

WHEN "1001" => yout <="1101111";--9

WHEN "1010" => yout <="1110111";--A

WHEN "1011" => yout <="1111100";--B

WHEN "1100" => yout <="0111001";--C

WHEN "1101" => yout <="1011110";--D

WHEN "1110" => yout <="1111001";--E

WHEN OTHERS => yout <="1110001";--F

END CASE;

END PROCESS;

-------------------------------------------------------------------------------

END ARCHITECTURE behavior;

5.4仿真波形图

很明显,可以看出该仿真图符合BCD七段显示译码器的逻辑关系(例如图中输入为0000时,输出为0111111)。

5.5问题讨论

BCD七段显示译码器的应用:顾名思义,BCD七段显示译码器不仅有译码器的功能,还具有显示器的功能。由于在数字系统中,数字量都是以一定的代码形式出现的,所以为了能够将这些将数字量直观显示出来,通常需先将这些数字量进行译码,然后才能送到数字显示器进行显示,以此来观察或读取系统的工作情况,因此该数字显示译码器在工程中应用比较广泛。

5.6 心得体会

通过本次实验,我懂得了BCD七段显示译码器的基本原理,也学会了用VHDL语言设计与指导书上不一样的BCD七段显示译码器的方法。

6.1 实验目的

同理于实验五。

6.2 实验内容

同理于实验五。

6.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

----------------------------------------------------------------

ENTITY Exp6_4bitAdder IS

PORT(

cin: IN STD_LOGIC;--表示来自最低位的进位输入

a,b: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

s: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

cout: Out STD_LOGIC--表示来自最高位的进位输入);

END ENTITY Exp6_4bitAdder ;

---------------------------------------------------------

ARCHITECTURE Behavior OF Exp6_4bitAdder IS

SIGNAL sint: STD_LOGIC_VECTOR(4 DOWNTO 0);

SIGNAL aa,bb : STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

aa <= '0' & a(3 DOWNTO 0); --四位扩展成五位,提供进位空间

bb <= '0' & b(3 DOWNTO 0);

sint <= aa+bb+cin;

s(3 DOWNTO 0) <= sint(3 DOWNTO 0);

cout <=sint(4);

---------------------------------------------------

END ARCHITECTURE Behavior;

6.4仿真波形

很明显,可以看出该仿真图符合四位全加器的逻辑关系(在图中,对全加器进行了3组数据的仿真,由于此时四位全加器最低位进位为0,因此将cin置0。比如图中当a=0111,b=1010时,结果输出0001,此时最高位有进位,故cout输出1,其他两组数据也可类似推知,故可知仿真的结果与实际的运算结果是相同的)。

6.5 问题讨论

四位全加器的应用:74LS283是TTL双极性并行4位全加器,特点是先行进位,因此运算速度很快。其基本应用有:①进行级联实现多位二进制加法运算;②实现余3码到8421BCD码的转换;③构成1位8421BCD码加法器。

6.6 心得体会

通过本次对四位全加器的设计,我懂得了四位全加器的基本原理,也学会使用VHDL语言设计与指导书上不一样的四位全加器的方法,同时也灵活地使用了Quartus II对设计进行仿真。虽然本次实验所用的时间较多,但最终得到的实验却是成功的。

7.1 实验目的

同理于实验六。

7.2 实验内容

同理于实验六。

7.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

----------------------------------------------------------------

ENTITY Exp7_JKtrigger IS

PORT(

r,s,cp,j,k: IN STD_LOGIC;

q: OUT STD_LOGIC;

qb: OUT STD_LOGIC

);

END ENTITY Exp7_JKtrigger ;

---------------------------------------------------------

ARCHITECTURE Behavior OF Exp7_JKtrigger IS

SIGNAL q_temp : STD_LOGIC;

SIGNAL qb_temp : STD_LOGIC;

BEGIN

PROCESS(j,k,cp)

BEGIN

IF (r = '0' AND s = '0') THEN –不允许

q_temp <= '1';qb_temp <= '1';

ELSIF (r = '0' AND s = '1') THEN –复位

q_temp <= '0';qb_temp <= '1';

ELSIF (r = '1' AND s = '0') THEN –置位

q_temp <= '1';qb_temp <= '0';

ELSIF (cp 'EVENT AND cp ='0') THEN --时钟下降沿触发

IF (j = '0' AND k = '0') THEN –保持

q_temp <= q_temp;qb_temp <= NOT qb_temp;

ELSIF (j = '0' AND k = '1') THEN –送数

q_temp <= '0';qb_temp <= '1';

ELSIF (j = '1' AND k = '0') THEN –送数

q_temp <= '1';qb_temp <= '0';

ELSIF (j = '1' AND k = '1') THEN –翻转

q_temp <= NOT q_temp;qb_temp <= qb_temp;

END IF;

END IF;

q <= q_temp;

qb <= qb_temp;

END PROCESS;

---------------------------------------------------

END ARCHITECTURE Behavior;

7.4仿真波形

很明显,可以看出该仿真图符合主从JK触发器的逻辑关系(在图中的蓝线处,此时r=1,s=1, j=0,k=0,cp下降沿触发,那么根据JK触发器的原理,此时是保持状态,即q输出不变,而qb输出相反,这一点可以由蓝线右边体现,其他情况也可从图中类似推知)。

7.5 问题讨论

主从JK触发器的应用:主从JK触发器是一种功能完善、使用灵活和通用性较强的一种触发器,因而在时序电路,寄存器,计数器,分频器等方面的应用非常广泛,同时JK触发器还可以转换成D触发器和T触发器。

7.6 心得体会

相比上一次实验,这次顺利多了,我想可能是因为有前几次的铺垫,才让我对软件及编程越来越熟悉了。经过这次实验,我对JK触发的基本原理有了更深的体会,也再次熟悉了Quartus II相关操作。

8.1 实验目的

同理于实验七。

8.2 实验内容

同理于实验七。

8.3 VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

----------------------------------------------------------------

ENTITY Exp8_4bitCounter IS

PORT(

cp,r,ld,ctp,ctt:IN STD_LOGIC;

d: IN UNSIGNED(3 DOWNTO 0);

q :OUT UNSIGNED(3 DOWNTO 0);

co:OUT STD_LOGIC

);

END ENTITY Exp8_4bitCounter;

---------------------------------------------------

ARCHITECTURE Behavior OF Exp8_4bitCounter IS SIGNAL iq : UNSIGNED(3 DOWNTO 0);

BEGIN

PROCESS (cp,ctt,r)

BEGIN

IF (cp'EVENT AND cp='1') THEN

IF (r = '0') THEN iq <= (OTHERS => '0');

ELSIF (ld = '0') THEN iq <=d;

ELSIF (ctp AND ctt) = '1' THEN iq<=iq + 1;

END IF;

END IF

IF (iq=15) AND (ctt='1') THEN co<='1';

ELSE co<='0';

END IF;

q<=iq;

END PROCESS;

---------------------------------------------------

END ARCHITECTURE Behavior;

8.4仿真波形

很明显,可以看出该仿真图符合4位同步二进制计数器的逻辑关系(在图中的蓝线处,此时r,ld,ctp,ctt均为1,计数器处于计数工作状态,此后每当cp处于上升沿时,计数器执行加1计数,当计数器状态为1111时,进位输出co为1,这可以由图中很清楚地看出)。

8.5 问题讨论

4位同步二进制计数器的应用:4位同步二进制计数器的最大应用就是可以构成一个任意模数M(M≤16)的计数器。

8.6 心得体会

通过本次实验,我对计数器无论从功能还是原理方面都有了较为系统的了解和学习,这次的VHDL代码并没有参考实验指导书,而是参考了教材,但教材上我觉得有点错误。以此,数电的8个实验到此结束了,在整个实验中,虽遇到一些操作和代码的问题,但随着实验的渐渐深入,也就越来越得心应手了。总之,收获颇多。

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

电工和电子技术(A)1实验报告解读

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

最新西华大学机器人创新设计实验报告(工业机械手模拟仿真)

实验报告 (理工类) 课程名称: 机器人创新实验 课程代码: 6003199 学院(直属系): 机械学院机械设计制造系 年级/专业/班: 2010级机制3班 学生姓名: 学号: 实验总成绩: 任课教师: 李炜 开课学院: 机械工程与自动化学院 实验中心名称: 机械工程基础实验中心

一、设计题目 工业机器人设计及仿真分析 二、成员分工:(5分) 三、设计方案:(整个系统工作原理和设计)(20分) 1、功能分析 工业机器人由操作机(机械本体)、控制器、伺服驱动系统和检测传感装置构成,是一种仿人操作、自动控制、可重复编程、能在三维空间完成各种作业的机电一体化自动化生产设备。特别适合于多品种、变批量的柔性生产。它对稳定、提高产品质量,提高生产效率,改善劳动条件和产品的快速更新换代起着十分重要的作用。机器人技术是综合了计算机、控制论、机构学、信息和传感技术、人工智能、仿生学等多学科而形成的高新技术,是当代研究十分活跃,应用日益广泛的领域。机器人应用情况,是一个国家工业自动化水平的重要标志。机器人并不是在简单意义上代替人工的劳动,而是综合了人的特长和机器特长的一种拟人的电子机械装置,既有人对环境状态的快速反应和分析判断能力,又有机器可长时间持续工作、精确度高、抗恶劣环境的能力,从某种意义上说它也是机器的进化过程产物,它是工业以及非产业界的重要生产和服务性设备,也是先进制造技术领域不可缺少的自动化设备。 本次我们小组所设计的工业机器人主要用来完成以下任务: (1)、完成工业生产上主要焊接任务; (2)、能够在上产中完成油漆、染料等喷涂工作; (3)、完成加工工件的夹持、送料与转位任务; (5)、对复杂的曲线曲面类零件加工;(机械手式数控加工机床,如英国DELCAM公司所提供的风力发电机叶片加工方案,起辅助软体为powermill,本身为DELCAM公司出品)

电子技术实验报告—实验4单级放大电路

电子技术实验报告 实验名称:单级放大电路 系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期: ?

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一) 单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放

大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

西华大学C++实验报告2 类和对象 ok

. 西华大学实验报告(计算机类) 开课学院及实验室:机械工程与自动化 实验时间 : 年 月 日 一、实验目的 1. 掌握类和对象的定义和使用方法; 2. 掌握定义构造函数和析构函数、重载构造函数的方法; 3. 掌握静态成员和常类型的语法; 4. 能正确理解public, private 和protected 三种访问权限及继承方式的区别; 5. 掌握程序多文件的组织方法。 二、内容与设计思想 上机实践内容: 定义学生类Student ,用于存放并输出学生的姓名、学号、年龄、程序设计的成绩并统计学生人数。 1) 定义缺省构造函数、带参数的构造函数和拷贝构造函数给学生的姓名、学号、年龄、程序设计的成绩分配内存并赋值; 2) 定义函数输出学生信息; 3)定义析构函数; 4) 在主函数中定义多个对象并调用上述成员函数进行测试; 5)采用头文件和源文件对程序进行组织。 三、使用环境 操作系统:Windows XP C++环境:Visual C++ 6.0 四、核心代码及调试过程 #include

#include using namespace std; static int count=0; class Student{ private: string name; long num; int age; int score; public: Student(){ name="印成波"; num=224; age=21; score=95; count++; } Student(string Name,long Num,int Age,int Score){ name=Name; num=Num; age=Age; score=Score; count++; } Student(Student &a); void show(){ cout<<"姓名:"<

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

西华大学数据库实验报告(三)

第3次作业:select复杂查询 一、环境 运行SQL Server,并创建名为student数据库、“学生信息”表、“课程”表、“学生成绩”表。 二、作业内容 在“学生成绩”表中,使用SELECT语句完成下面的查询。 (1)在“课程”表中查询所有学生的“课程号”和“课程名称”。 use student go select distinct课程号,课程名称 from课程_蒲强林 go 运行结果截图: (2)在“课程”表中查询所有没有“先修课程”的课程的“课程号”和“课程名称” use student go select课程号,课程名称 from课程_蒲强林 where先修课程is NULL

go 运行结果截图: (3)在“课程号”表中查询“学分”在4-6之间的课程的“课程号”和“课程名称”。 use student go select课程号,课程名称 from课程_蒲强林 where学分>=4 and学分<=6 go 运行结果截图: (4)在“课程”表中查询所有“课程名称”中包含“数据库”字样的课程的全部信息,将查询结果按“课程名称”降序排列。 use student go select* from课程_蒲强林 where课程名称like'%数据库%' order by课程名称DESC go 运行结果截图:

(5)查询“课程”表课程的总数。 use student go select count(*)课程总数 from课程_蒲强林 go 运行结果截图: (6)查询至少选修了2门课程以上的学生的学号。 use student go select distinct学号 from学生成绩_蒲强林 group by学号 having count(*)>=2 go 运行结果截图: (7)查询每个学生所选修的课程的分数,在查询结果中显示学生的“学号”、“课程名称”和该课程的“分数”。 use student go select学号,课程名称,分数 from学生成绩_蒲强林,课程_蒲强林 where学生成绩_蒲强林.课程号=课程_蒲强林.课程号 go 运行结果截图:

电工电子技术实验报告

电工电子技术实验报告 学院 班级 学号 姓名 天津工业大学电气工程与自动化学院电工教学部 二零一三年九月

目录 第一项实验室规则------------------------------------------------------------------ i 第二项实验报告的要求------------------------------------------------------------ i 第三项学生课前应做的准备工作------------------------------------------------ii 第四项基本实验技能和要求----------------------------------------------------- ii 实验一叠加定理和戴维南定理的研究------------------------------------------ 1实验二串联交流电路和改善电路功率因数的研究--------------------------- 7实验三电动机的起动、点动、正反转和时间控制--------------------------- 14实验四继电接触器综合性-设计性实验----------------------------------------20 实验五常用电子仪器的使用---------------------------------------------------- 22实验六单管低频电压放大器---------------------------------------------------- 29实验七集成门电路及其应用---------------------------------------------------- 33 实验八组合逻辑电路------------------------------------------------------------- 37实验九触发器及其应用---------------------------------------------------------- 40 实验十四人抢答器---------------------------------------------------------------- 45附录实验用集成芯片---------------------------------------------------------- 50

电子技术实验报告—实验8集成运算放大器的运用——运算器

电子技术实验报告 实验名称:集成运算放大器的运用——运算器系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期:

目录 一、实验目的 (3) 二、实验原理 (3) 1. 反相放大器 (3) 2. 同相放大器 (3) 3. 电压跟随器 (4) 4. 反向加法器 (4) 5. 减法器 (5) 6. 积分器 (5) 三、实验仪器 (6) 四、实验内容 (6) 1. 反相放大器 (6) 2. 同相放大器 (8) 3. 加法器 (9) 4. 减法器 (11) 5. 积分器 (12) 五、实验小结 (13)

一、实验目的 1. 熟悉集成运算放大器的性能和使用方法; 2. 掌握集成运放的构成基本的模拟信号运算电路。 二、实验原理 集成运算放大器是一种高增益、高输入阻抗、低输出阻抗的直流放大器。若外加反馈网络,便可实现各种不同的电路功能。例如,施加线性负反馈网络,可以实现放大功能,以及加、减、微分、积分等模拟运算功能;施加非线性负反馈网络,可以实现乘、除、对数等模拟运算功能以及其他非线性变换功能。 1. 反相放大器 电路如图2所示,信号由反相端输入。在理想的条件下,反相放大器的闭环电压增益为: A VF=V O i =? R F 1 由上式可知,闭环电压增益的大小,完全取决于电阻的比值R F/R1,电阻值的误差将是测量误差的主要来源。 当取R F=R1,则放大器的输出电压等于输入电压的负值,即:V O=?R F R1 V i=?V i此时反相放大器起反相跟随器作用。 2. 同相放大器 电路如图3所示,信号由同相端输入,在理想的条件下,同相放大器的闭环

西华大学数据库实验报告(五)

第5次作业 ——事务、游标、自定义函数 一、环境 运行SQL Server,并已经创建名为student数据库、“学生信息”表、“课程”表、“学生成绩”表。 二、实训内容 1、事务 (1)Alice和Bob分别有银行账号A、B,分别用表a、表b表示,这2个表都只有1个字段amount,表示余额。现在需要从Alice向Bob转账制定金额,要求转账过程中不能出现错误,而且不管转账是否成功,都扣除Alice账号1元钱的手续费。 (2)amount字段上的约束条件是余额不能小于0 declare@xmoney money,@err1int,@err2int set@xmoney= 300 --指定转账金额为300 begin transaction update A set amount=amount- 1 --扣除手续费 set@err1=@err1+@@ERROR--记录上述update可能出现的错误 save transaction transfer--设置保存点transfer update A set amount=amount-@xmoney--从账号A中扣除金额 set@err2=@err2+@@ERROR--记录上述update可能出错的情况 update B set amount=amount+@xmoney--想账号B中转入金额 set@err2=@err2+@@error if@err1!= 0 --如果扣除手续费出现错误 begin rollback transaction print'所有操作失败' end else begin

if@err2!= 0 --如果转账过程出现错误 begin rollback transaction transfer--回滚到保存点transfer处 print'转账失败,但手续费已扣' end else begin commit transaction--所有操作成功,提交事务 print'转账成功' end end go 2、自定义函数 (1)在student数据库中,创建标量函数,统计“课程”表中总共有多少 条门课程,写出程序代码。要求:在建立函数之前,为排除重名函数,先判断要创建的函数是否存在,如果存在则先删除。 if exists(select name from sysobjects where name='Ccount'and type='FN') drop function https://www.doczj.com/doc/c614536986.html,ount go create function https://www.doczj.com/doc/c614536986.html,ount() returns int as begin declare@num int set@num= 0 select@num=count(*) from学生课程_蒲强林 return@num end go -- 调用函数查询 use student go declare@num int set@num= 0 set@num=https://www.doczj.com/doc/c614536986.html,ount() print'课程表中总共有:'+cast(@num as char(1))+'门课程' go 运行结果截图:

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

三相桥式全控整流电路实验报告

三相桥式全控整流电路实 验报告 Prepared on 24 November 2020

实验三三相桥式全控整流电路实验 一.实验目的 1.熟悉MCL-18, MCL-33组件。 2.熟悉三相桥式全控整流电路的接线及工作原理。 二.实验内容 1.MCL-18的调试 2.三相桥式全控整流电路 3.观察整流状态下,模拟电路故障现象时的波形。 三.实验线路及原理 实验线路如图3-12所示。主电路由三相全控整流电路组成。触发电路为数字集成电路,可输出经高频调制后的双窄脉冲链。三相桥式整流电路的工作原理可参见“电力电子技术”的有关教材。 四.实验设备及仪器 1.MCL—Ⅱ型电机控制教学实验台主控制屏。 2.MCL-18组件 3.MCL-33组件 4.MEL-03可调电阻器(900) 6.二踪示波器 7.万用表 五.实验方法 1.按图3-12接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)打开MCL-18电源开关,给定电压有电压显示。

(2)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,相互间隔60o 的幅度相同的双脉冲。 (3)用示波器观察每只晶闸管的控制极、阴极,应有幅度为1V —2V 的脉冲。注:将面板上的Ublf 接地(当三相桥式全控整流电路使用I 组桥晶闸管VT1~VT6时),将I 组桥式触发脉冲的六个琴键开关均拨到“接通”, 琴键开关不按下为导通。 (4)将给定输出Ug 接至MCL-33面板的Uct 端,在Uct=0时,调节偏移电压Ub ,使=90o 。(注:把示波器探头接到三相桥式整流输出端即U d 波形, 探头地线接到晶闸管阳极。) 2.三相桥式全控整流电路 (1) 电阻性负载 按图接线,将Rd 调至最大450 (900并联)。 三相调压器逆时针调到底,合上主电源,调节主控制屏输出电压U uv 、U vw 、U wu ,从0V 调至70V(指相电压)。调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90° 3.电感性负载 按图线路,将电感线圈(700mH)串入负载,Rd 调至最大(450)。 调节Uct ,使 在30o ~90o 范围内变化,用示波器观察记录=30 O 、60O 、90O 时,整流电压u d =f (t ),晶闸管两端电压u VT =f (t )的波形,并记录相应的Ud 和交流输入电压U 2 数值。 30° 60° 90°

电子技术实验报告

电子技术实验报告 一、元器件认识 (一)、电阻 电阻元件的的标称阻值,一般按规定的系列值制造。电阻元件的误差有六级,对应的标称值系列有E192、E96、E12和E6。电阻在电路中的主要作用为分流、限流、分压、偏置等。 电阻器的标称值和误差等级一般都用数字标印在电阻器的保护漆上。但体积很小的和一些合成的电阻器其标称值和误差等级常以色环的方便之处,能清楚地看清阻值,便于装配和维修。 电阻色码图 颜色黑棕红橙黄绿蓝紫灰白金银本色对应0 1 2 3 4 5 6 7 8 9 / / / 数值 4 567890123对应/ / / 10 10 10 10 10 10 10 10 10 10 n10 方 次 表示/ +1% +2% / / +0.5% +0.25% +0.1% / / +5% +10& +20% 误差-1% -2% -0.5% -0.25% -0.1% -5% -10% -20% 值 色环表示方法有两种形式,一种是四道环表示法,另外一种是五道环表示法。 四道色环:第1,2色环表示阻值的第一、第二位有效数字,第3色环表示两位n数字再乘以10 的方次,第4色环表示阻值的误差。五道色环:第1,2,3色环

n表示阻值的3位数字,第4色环表示3位数字再乘以10的方次,第5色环表示阻值的误差。 ,二,电容值识别 电容在电路中一般用“C”加数字表示(如C13表示编号为13的电容).电容是由两片金属膜紧靠,中间用绝缘材料隔开而组成的元件.电容的特性主要是隔直流通交流. 电容容量的单位为皮法(pf)或(uf),大多数电容的容量值都印其外封装上,主要有两种识别方法,一种是直接识别方法,例如220UF就是220uF,4n7就是 4.7nF;另一种是指数标识,一般以数值乘以倍率表示,倍率值一般用最后 3一位数字表示,单位为pf。比如103,表示容量为10*10pf,即0.01uf;而224表示容量为22*10000pf,即0.22uf;331,表示容量为33*10pf,即330pf。误差用字母表示。“k”表示误差额为10%,“j”表示误差额为5%。而字母“R”可用于表示小数点,例如3R3=3.3 1 (三)用万用表测试半导体二极管 将一个PN结加上正负电极引线,再用外壳封装就构成半导体二极管。由P区引出的电极为正(或称阳极),由N区引出的电极为负极(或称阴极)。 (1) 鉴别二极管的正,负极电极 用万用表表测量二极管的极性电路图,黑表棒接内部电池正极,红表棒接内部电池负极。测量二极管正向极性时按“A”连接,万用表的欧姆档量程选在R*10档。若读数在几百到几百千欧以下,表明黑表棒所接的一段为二极管的正极,二极管正向导通,电阻值较小;若读数很大,则红表棒所接的一端是二极管的正极,此时二极管反向截止。二极管的基本特性是单向导电性。 (四)用万用表测试小功率晶体三极管

西华大学CAD上机实验报告

西华大学上机实验报告(二) 一、实验目的 掌握直线、多边形、圆、圆弧、椭圆、圆环、多段线等绘图命令的操作与运用。 二、实验内容或设计思想 1)用上节课所学的绘图命令,绘制下列图形,形似即可,但要求圆和六边形的中心坐标为(0.5L,1/3B),半圆的中心坐标在矩形的长边中心处。(其中L为矩形的长,B为矩形的宽)。 2)绘制下列图形,不需标注,尺寸、角度必须精确。

三、实验环境与工具 计算机、AUTOCAD软件。 四、实验过程或实验数据 1)打开AUTOcad软件; 2)2-1 命令: _rectang 指定第一个角点或[倒角(C)/标高(E)/圆角(F)/厚度(T)/宽度(W)]: 指定另一个角点或[面积(A)/尺寸(D)/旋转(R)]: 命令: _explode 找到 1 个 命令: _divide 选择要定数等分的对象: 输入线段数目或[块(B)]: 3 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)]: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <12.2851>: 命令: _trim 当前设置:投影=UCS,边=无 选择剪切边... 找到11 个 选择要修剪的对象,或按住Shift 键选择要延伸的对象,或 [栏选(F)/窗交(C)/投影(P)/边(E)/删除(R)/放弃(U)]:

2-2-1 命令: _line 指定第一点: 指定下一点或[放弃(U)]: 命令: _line 指定第一点: 指定下一点或[放弃(U)]: @80<141.5 命令: xl XLINE 指定点或[水平(H)/垂直(V)/角度(A)/二等分(B)/偏移(O)]: a 输入构造线的角度(0) 或[参照(R)]: 54.5 指定通过点: 命令: _trim 当前设置:投影=UCS,边=无 选择剪切边... 找到 3 个 选择要修剪的对象,或按住Shift 键选择要延伸的对象,或 [栏选(F)/窗交(C)/投影(P)/边(E)/删除(R)/放弃(U)]: 2-2-2 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <20.0000>: 30 命令: _polygon 输入边的数目<4>: 指定正多边形的中心点或[边(E)]: 输入选项[内接于圆(I)/外切于圆(C)] : 指定圆的半径: 30 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <30.0000>: 20 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <20.0000>: 5 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>:

相关主题
文本预览
相关文档 最新文档