当前位置:文档之家› 实验七 波形产生及单稳态触发器(1)

实验七 波形产生及单稳态触发器(1)

实验七   波形产生及单稳态触发器(1)
实验七   波形产生及单稳态触发器(1)

实验七波形产生及单稳态触发器

一、实验目的

1.熟悉多谐振荡器的电路特点及振荡频率估算方法。

2.掌握单稳态触发器的使用。

二、实验仪器及材料

1. 双踪示波器一台

2. 元器件TC4069 六反相器1片

74LS04 六反相器1片

74LS00 二输入端四与非门1片

电位器10K 1只

三、实验内容

1、由CMOS门构成多谐振荡器,电路取值一般应满足R1=(2~10)R2周

期T≈2.2·R·C。

⑴在学习机上用TC4069芯片并按图7.1接线,测试频率范围。

⑵若C不变,要想输出1KHz频率波形。计算R2的值并验证,分析误

差。

图7.1 CMOS门构成多谐振荡器

⑶若要实现10KHz~100KHz频率范围,选用上述电路并自行设计参数,

接线实验并测试。

C=1n,RW=50K(底板)

2、单稳态触发器

⑴在步骤1-(3)的基础上增加一片74LS00和电阻、电容,接成7.2所

示电路,图中非门为TC4069的一个单元。

图7.2 单稳态触发器

⑵选三个频率(易于观察)记录A、B、C各点波形。

⑶若要改变输出波形低电平宽度(例如增加)应如何改变电路参数?

用实验验证。

3、由TTL门电路构成多谐振荡器

按图7.3接线,芯片为74LS04。

用示波器测量频率变化范围。观测A、B、V0各点波形并记录。

图7.3 TTL门电路构成多谐振荡器

四、实验报告

1.整理实验数据及波形。

2.画出振荡器与单稳态触发器联调实验电路图。

3.写出实验中各电路脉宽估算值,并与实验结果对照分析。

附:

74LS04/TC4069引脚图74LS00引脚图

实验八555定时器

一、实验目的

1、掌握555时基电路的结构和工作原理,学会对此芯片的正确使用。

2、学会分析和测试用555时基电路构成施密特触发器、单稳态触发器、多

谐振荡器三种典型电路。

二、实验仪器及材料

1、双踪示波器

2、数字万用表

三、实验内容

1、555时基电路功能测试

芯片引脚的功能:

图 8.1 555引脚图

1脚:外接电源负端VSS或接地,一般情况下接地。

2脚:低触发端TR。

3脚:输出端Vo

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电

平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,

以防引入干扰。

6脚:高触发端TH。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5~16V,CMOS型时基电路VCC的范围为3~18V,一般用5V。

图8.2 555内部结构图图8.3功能测试图

⑷按图8.3接线(1K、2K电位器在底板上),按表8.1要求测试,将

测试结果填入表中:

⑸在图8.3的VC(参考电压)端加2V、4V电压时,测出此时V0状

态保持和切换时/TR、TH端应加的电压值是多少?试用实验法测定,

并与理论值比较。

VC=4V时,/TR的临界电压为,TH的临界电压为;

VC=2V时,/TR的临界电压为,TH的临界电压为。

?试用示波器单次触发功能完成临界电压测量。

?提示:需要注意示波器通道的耦合方式(DC)、触发源(接OUT

的通道)、触发方式(会正跳变时,上升沿触发;会负跳变时,下

降沿触发)、触发电平(2V左右)。

2、555构成施密特触发器

图8.4 555构成施密特触发器

⑴如图8.4连接电路,从Ui输入频率为1KHz峰峰值为Vcc直流偏移

为0.5Vcc的三角波,并用示波器的CH1观察,Uo接示波器的CH2;

⑵测出当Uo电平跳变时Ui的电压,画出工作波形图。

⑶试列举555构成施密特触发器的典型应用。

?示波器设置参考(Vcc=5V):

A.水平设置:调节水平档位到500uS/Div或200uS/Div;

B.通道设置:CH1和CH2都为直流耦合;

C.垂直设置:调节CH1、CH2的垂直档位为1V/Div;

调整CH1、CH2的垂直偏移旋钮使通道的“0”电平偏移(POS)都为-3V,即垂直移动波形,使波形的最低点在屏

幕水平中心线偏下3格的位置;

D.触发设置:CH1上升沿触发,触发电平设置为1~4V;

E.电平测量:数格法读取或用光标测量。

?动手试一试:更改触发设置为CH2下降沿触发或CH2上升沿触

发,能不能更好的测出当Uo电平跳变时Ui的电压。

3、555构成的单稳态触发器

图8.5 555构成的单稳态触发电路及工作波形图

⑴按图8.5接线,图中R=5.1K,C=100nf,Vi是频率约为1KHz左右的

方波,用双踪示波器观察OUT端相对于Vi的波形,并测出输出脉

冲的宽度TW。

⑵调节Vi的频率,分析并记录观察到的OUT端波形的变化。

⑶若想改变输出脉冲的宽度TW,怎样调整电路?

⑷试列举555构成单稳态触发器的典型应用。

4、555基电路构成的多谐振荡器

⑴按图8.6接线。调节RW3至某一位置,实测图中RA、RB的值:

RA= RB= C=47nf

⑵用示波器观察并测量OUT端波形的频率。和理论估算值比较,算出

频率的相对误差值。

⑶改变电容C的值,输出波形有何变化?

⑷改变电位器RW3的位置,输出波形有何变化?

图8.6 555构成多谐振荡电路及工作波形图

⑸根据图8.6,充电回路的支路是RA-RB-C,放电回路的支路是C-RB,

将电路略作修改,增加两个引导二极管,构成图8.7所示的占空比可

调的多谐振荡器。改变电位器的位置,即可调节输出波形的占空比。

图8.7 555构成占空比可调的多谐振荡器

⑹根据图8.7描述充电回路和放电回路。

5、应用电路

图8.8 555组成警铃电路

⑴按图8.8连接好实验电路;

⑵用示波器观察输出波形并记录;

⑶电路输出经功率放大后接上扬声器。

6、时基电路使用说明

⑴555定时器的电源电压范围较宽,可在+4.5~+16V范围内使用(若

为CMOS的555芯片则电压范围在+3~+18V内)。

⑵电路的输出有缓冲器,因而有较强的带负载能力,双极性定时器最

大的灌电流和拉电流都在200mA左右,因而可直接推动TTL或

CMOS电路中各种电路,包括能直接推动蜂鸣器等器件。

⑶本实验所使用的电源电压Vcc=5V。

四、实验报告

1、按实验内容各步要求整理实验数据。

2、画出实验中相应波形。

3、总结时基电路基本电路及使用方法。

实验七 触发器解读

实验七、触发器 一、实验目的 (1)理解触发器的用途、类型和工作原理。 (2)掌握利用T-SQL语句创建和维护触发器的方法。(3)掌握利用SQL Server Management Studio创建、维护触发器的方法。 二、实验内容、 1、创建AFTER触发器 (1)创建一个在插入时触发的触发器sc_insert,当向SC表插入数据时,须确保插入的学号已在Student表中存在,并且还须确保插入课程号在Course表中存在;若不存在,则给出相应的提示信息,并取消插入操作,提示信息要求指明插入信息是学号不满足条件还是课程号不满足条件。(注:Student表与SC表的外键约束要先取消。)

(2)为Course表创建一个触发器Course_del,当删除了Course表中的一条课程信息时,同时将表SC中相应的学生选课记录也删除。 (3)在Course表中添加一个平均成绩avg_modify,当SC表中某学

生的成绩发生变化时,则Course表中的平均成绩也能及时相应发生改变。 (4)测试上述三个触发器。

2、创建INSERTED OF 触发器 (1)创建一视图student_view,包含学号、姓名、课程号、课程名、成绩等属性,在student_view上创建一个触发器grade_modify,当对student_view中的学生的成绩进行修改时,实际修改的是SC中的相应记录。

(2)在Student表中插入一个getcredit字段(记录学生所获学分的情况),创建一个触发器ins_credit,当更改(注:含插入时)SC表中的学生成绩时,如果新成绩大于等于60分,则该生可获得这门课的学分,如果新成绩小于60分,则该生未能获得这门课的学分。 (3)测试上述两个触发器。

单稳态触发器

单稳态触发器特点: 电路有一个稳态、一个暂稳态。 在外来触发信号作用下,电路由稳态翻转到暂稳态。 暂稳态不能长久保持,由于电路中RC延时环节的作用,经过一段时间后,电路会自动返回到稳态。暂稳态的持续时间取决于RC电路的参数值。 单稳态触发器的这些特点被广泛地应用于脉冲波形的变换与延时中。 一、门电路组成的微分型单稳态触发器 1. 电路组成及工作原理 微分型单稳态触发器可由与非门或或非门电路构成,如下图。与基本RS触发器不同, (a)由与非门构成的微分型单稳态触发器 (b)由或非门构成的微分型单稳态触发 图6.7微分型单稳态触发器 构成单稳态触发器的两个逻辑门是由RC耦合的,由于RC电路为微分电路的形式,故称为微分型单稳态触发器。下面以CMOS或非门构成的单稳态触发器为例,来说明它的工作原理。 ⑴ 没有触发信号时,电路处于一种稳态 没有触发信号时,为低电平。由于门输入端经电阻R接至,因此 为低电平; 的两个输入均为0,故输出为高电平,电容两端的电压接近0V,这是电路的“稳态”。在触发信号到来之前,电路一直处于这个状态:

, 。 ⑵ 外加触发信号,电路由稳态翻转到暂稳态 当时,的输出由1 0,经电容C耦合,使,于是的输出v02 =1, 的高电平接至门的输入端,从而再次瞬间导致如下反馈过程: 这样导通截至在瞬间完成。此时,即使触发信号撤除(), 由于的作用,仍维持低电平。然而,电路的这种状态是不能长久保持的,故称之为暂稳态。暂稳态时, ,。 ⑶ 电容充电,电路由暂稳态自动返回至稳态 在暂稳态期间,电源经电阻R和门的导通工作管对电容C充电,随着充电时 间的增加增加,升高,使时,电路发生下述正反馈过程(设此时触发器脉冲已消失): 迅速截止,很快导通,电路从暂稳态返回稳态。, 。 暂稳态结束后,电容将通过电阻R放电,使C上的电压恢复到稳定状态时的初始值。在整个过程中,电路各点工作波形如图6.8所示。

触发器功能的模拟实现实验报告-

武汉轻工大学数学与计算机学院 数字逻辑实验报告 学校:武汉轻工大学 院系:数学与计算机学院 班级:计算机类1304班 姓名:田恒 学号: 1305110089 指导老师:刘昌华 2014年12月10日

目录 1、实验名称 2、实验目的 3、实验原理 4、实验环境 5、实验内容 一、DFF仿真分析 二、“维持阻塞”型DFF仿真分析 三、思考练习 四、故障排除 五、实验总结

【实验名称】触发器功能的模拟实现 【实验目的】学习时序电路的设计,了解基本触发器的功能,利用QuartusII软件的原理图输入,设计一个钟控R-S触发器形成的D触发器和边沿触发型D触发器,并验证其功能。 【实验原理】(1)钟控R-S触发器,在时钟信号作用期间,当输入R、S同时为1时,触发器会出现状态不稳定现象。为了解决这个问题,对钟控R-S触发器的控制电路进行修改,用G4门的输出信号替换G3门的S输入信号,将剩下的输入R记作D,就形成只有一个输入端的D触发器。 (2)在上述D触发器的基础上增加“维持”、“阻塞”结构,从而形成“维持阻塞”型D触发器。

【实验环境】PC机(Windows xp,QuartusII) 【实验内容】QuartusII开发数字电路的设计流程完成DFF和“维持阻塞”型D触发器的原理设计输入,编译仿真和波形仿真。 一、DFF仿真分析: step1、启动QuartusII Step2、建立工作库目录文件夹以便设计工程项目的存储 Step3、输入设计:根据上部原理图完成原理图文件,截图如下: Step4、单击存盘命令新建工程 Step5、编译综合 Step6、仿真测试 Step7、仿真结果

数据库实验报告(7)-触发器

桂林航天工业学院学生实验报告 实验七 实验名称触发器实验日期2019.11.12 实验目的 1.了解触发器的基本原理,掌握创建触发器的方法。 2.掌握修改和删除触发器的方法,能够设计简单的触发器。 实验内容触发器 实验方法及步骤 1、创建一个触发器InsStud,当向student表插入一条记录时,同时把该记录插入到关系student2。 CREATE TRIGGER InsStud on student FOR INSERT AS insert into student2 select*from inserted 2、向student表中插入一条记录('201215130','张三','男',20,'CS'),查看student和student2表中记录的变化是什么? student和student2表中都能插入('201215130','张三','男',20,'CS')这条记录 insert student values('201215130','张三','男',20,'CS'); select*from student select*from student2 3、创建一个触发器DelStud,当向student表删除一条记录时,同时把该记录从student2表删除掉。

CREATE TRIGGER DelStud on student FOR delete AS delete student2 select*from deleted 4、删除student表中的sno为201215130的元组,同时查看student2表中元组的变化是什么? student和student2表中都能删除('201215130','张三','男',20,'CS')这条记录。 delete student where sno='201215130' select*from student select*from student2 5、为course表创建一个名为del_rollback_tr的DELETE触发器,该触发器的作用是禁止删除course表中的记录。 CREATE TRIGGER del_rollback_tr on course FOR delete AS if (exists(select*from deleted)) rollback insert course values('8','张','5',2); delete course where cno='8'

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。

实验七触发器

实验七触发器 文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

实验七触发器 一、实验目的 (1)理解触发器的用途、类型和工作原理 (2)掌握利用T-SQL语句创建和维护触发器的方法 (3)掌握利用企业管理器创建、维护触发器的方法 二、实验内容 1.创建after触发器 (1)创建一个在插入时触发的触发器sc_insert,当向sc表插入数据时,须确保插入的学号已在Student表中存在,并且还须确保插入的课程号在Course表中存在;若不存在,则给出相应的提示信息,并取消插入操作,提示信息要求指明插入信息是学号不满足条件还是课程号不满足条件(注:Student表与sc表的外键约束要先取消)。 create trigger sc_insert on sc after insert as

if not exists(select*from student,inserted where= begin print'插入信息的学号不在学生表中!' if not exists(select*from course,inserted where= print'插入信息的课程号不在课程表中!' rollback end else begin if not exists(select*from course,inserted where= begin print'插入信息的课程号不在课程表中!' rollback end

end 执行: ①、insert into SC values(,'001','78') 删除外键约束: alter table SC drop constraint FK__SC__Sno__182C9B23 ②、insert into SC values(,'001','78') ③、insert into SC values('','006','78') (2)为Course表创建一个触发器Course_del,当删除了Course表中的一条课程信息时,同时将表sc表中相应的学生选课记录删除掉。 create trigger course_del on course after delete

555定时器单稳态触发器

先介绍下555定时器的基础知识,然后讲555定时器单稳态触发器 一、555定时电路 555定时电路的应用十分广泛,它由TTL集成定时电路和CMOS集成定时电路,这二者功能完全相同,不同之处是:TTL集成定时电路的驱动能力比CMOS集成定时电路大.. 1、555定时电路的组成 555定时电路是由三个5千欧电阻组成分压器、两个高精度电压比较器、一个基本R-S触发器、一个作为放电通路的管子及输出驱动电路组成。它的逻辑电路图为:如图(1)所示 它的逻辑符号为:如图(2)所示 功能描述:(功能表如表3所示) 当输入端R为低电平时,不管别的输入端为何种情况,输出为低电平,CMOS管工作。 当引脚6的输入电平大于2/3U DD 并且引脚2的输入电平大于1/3U DD ,输出为低电 平,CMOS管工作 当引脚6的电平小于2/3U DD 并且引脚2的输入电平大于1/3U DD, 输出为原状态. 当引脚2的电平小于1/3U DD, 电路输出为高电平,NMOS管关断.

例1.555集成电路,改变电压控制端(引脚5)的电压可改变( ) A.高触发端,低触发端的电平 B.555定时电路的高低电平 C.开关放电管的开关电平 D.置"0"端R的电平 答案为: A 例2.555定时电路R端的作用是什麽? 答:它的作用是:复"0".不管555定时电路是何种状态,只要R输入为低电平,输出即为低电平;只有它输入为高电平时定时电路才工作。 单稳态触发器具有下列特点:第一,它有一个稳定状态和一个暂稳状态;第二,在外来触发脉冲作用下,能够由稳定状态翻转到暂稳状态;第三,暂稳状态维持一段时间后,将自动返回到稳定状态。暂稳态时间的长短,与触发脉冲无关,仅决定于电路本身的参数。 单稳态触发器在数字系统和装置中,一般用于定时(产生一定宽度的脉冲)、整形(把不规则的波形转换成等宽、等幅的脉冲)以及延时(将输入信号延迟一定的时间之后输出)等。 一.用555定时器单稳态触发器 1. 电路组成及工作原理 (1)无触发信号输入时电路工作在稳定状态 当电路无触发信号时,v I保持高电平,电路工作在稳定状态,即输出端v O保持低电平,555内放电三极管T饱和导通,管脚7“接地”,电容电压v C为0V。(2)v I下降沿触发 当v I下降沿到达时,555触发输入端(2脚)由高电平跳变为低电平,电路被触发,v O由低电平跳变为高电平,电路由稳态转入暂稳态。 (3)暂稳态的维持时间 在暂稳态期间,555内放电三极管T截止,V CC经R向C充电。其充电回路为V →R→C→地,时间常数τ1=RC,电容电压v C由0V开始增大,在电容电压v C CC 上升到阈值电压之前,电路将保持暂稳态不变。

555定时器简单的电路

每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上。一些豪华轿车上,使用单片微型计算机的数量已经达到48个,电子产品占到整车成本的50%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 555定时器可方便地构成单稳态触发器,多谐振荡器,施密特触发器等电路,闪光电路一般是利用多谐振荡器产生的脉冲信号控制而成。 一、电路图如下:

闪光电路原理图1引脚原理图2 分析工作原理的时候,可以对照图1所示,这是一个典型的利用555设计的多谐振荡器,调节可变电阻可以改变输出的振荡信号的频率,信号从3脚输出一个高低电平,控制D1和D2。 当输出高电平的时候,D2亮,D1不亮。当输出低电平的时候,D2不亮,D1亮。总的效果看起来就是闪烁了。

需要制作实物的朋友可以对照图2制作,像这么一个比较简单的电路,可以购买少量的元件,用万能板(洞洞板)焊接而成,当然焊接的时候,需要一定的焊接技术,如果焊接技术不行的朋友,一定要练习焊接技术,我们比较提倡在电子制作过程中采用拖焊技术,具体实物产品,可以参照图3和图4。 二、元件清单如下: 需要制作的朋友,可以到电子市场购买以上元器件,都是非常常用的元器件,容易购买。笔者建议去网上购买,初步估计所有的材料加在一起,价格在5元以内。 三、闪光器实物图 图3 闪光器实物图

图4闪光器背面走线图 在制作的时候,一定要注意555定时器的引脚功能,比如1脚接地,8脚接电源,和普通的DIP集成电路有些不一样,当制作完成的时候,如果LED灯不闪烁,就要检测了,首先检测1脚和8脚电压是否正常,然后再检测4脚电压是否正常,2脚和6脚是否已经连在一起来,如果这些都正常了,故障基本会被排除了。

555构成的单稳态触发器的四种基本电路

555构成的单稳态触发器的四种基本电路 图(a所示电路是典型的单稳模式电路。当外加脉冲经C1、R1微分电路加至555的2脚时,负向脉冲(<1/3VDD使555置位,3脚输出暂稳脉冲宽度td=1.1RC。 图(b与图(a类同,但它有两个输出端。C通过R至555内部灌电流放电,恢复时间比图(a要长。 图(c电路的2、6脚接法与图(a、(b不同,外加触发应为正向脉冲,幅值应大于号VDD,暂稳脉冲 为负向,其宽度td=1.1RC,可同时输出两路。 图(d与图(c类同,但由于在充电回路中加进了导向二极管D,加快了充电速率,使工作频率大大 提高。该电路可同时输出两路。 [日期:2010-02-20]来源:作者:[字体:大中小] 555电路 2008/12/17 15:15 555 集成电路开始出现时是作定时器应用的,所以叫做 555 定时器或555 时基电路。但是后来经过开发,它除了作定时延时控制外,还可以用于调光、调温、调压、调速等多种控制以及计量检测等作用;还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,作为交流信号源以及完成电源变换、频率变换、脉冲调制等用途。由于它工作可靠、使用方便、价格低廉,因此目前被广泛用于各种小家电中。 555 集成电路内部有几十个元器件,有分压器、比较器、触发器、输出管和放电管等,电路比较复杂,是模拟电路和数字电路的混合体。它的性能和参数要在非线性模拟集成电路手册中才能查到。 555 集成电路是 8 脚封装,图 1 ( a )是双列直插型封装,按输入输出的排列可画成图 1 ( b )。其中 6 脚称阀值端( TH ),是上比较器的输入。 2 脚称触发端(),是下比较器的输入。 3 脚是输出端( V O ),它有 0 和 1 两种状态,它的状态是由输入端所加的电平决定的。 7 脚的放电端( DIS ),它是内部放电管的输出,它也有悬空和接地两种状态,也是由输入端的状态决定的。 4 脚是复位端(),加上低电砰(< 0.3 伏)时可使输出成低电平。 5 脚称控制电压端( V C ),可以用它改变上下触发电平值。 8 脚是电源, 1 脚为地端。

555定时器及其应用

实验六 555定时器及其应用 一.实验目的 1.熟悉555定时器的组成及功能。 2.掌握555定时器的基本应用。 3.进一步掌握用示波器测量脉冲波形的幅值和周期。 二.实验原理 555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型

该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A 1、A 2基准电压分别为CC CC V 3 1 ,V 32的情况下,555时基电路的功能表如表6—1示。

输出高电平时间

2)Vi接连续脉冲f = 512HZ,用示波器观察、记录Vi、V2、V C及V O的波形(以Vi为触发信号),测出V O的脉冲宽度t W,且与理论值相比较。 4.设计一个用555定时器构成的方波发生器,要求方波的周期为1ms,占空比为5%。 四.预习要求 1.搞清555定时器的功能和应用 2.理论计算出实验内容1多谐振荡器的输出方波的周期T 3.理论计算实验内容3 中2)输出脉冲宽度t W。 4.搞清图6—5中R1、C1微分电路的作用。V i为连续脉冲,对应地分析、画出V2的波形。 五.思考题 1.用两片555定时器设计一个间歇单音发生电路,要求发出单音频率约为1KHZ,发音时间约为0.5S,间歇时间约为0.5S。 2.图6—4电路中指出电容C充电途径、放电途径。写出振荡周期T和占空比表达式。理论计算出实验内容2、3两种情况下的占空比。 3.图6—5中,设微分电路的输入连续脉冲周期为T i,R1、C1的参数应如何选择? 4.实验内容3中,如果不采用R1、C1微分电路,即V i直接接至定时器的2脚,是否还能得到原来脉冲宽度t w的输出脉冲。 六.实验仪器与器材 1.电子技术实验箱MS-ⅢA型1台 2.直流电源(+5V)DS-2B-12型1台 3.示波器5020B型1台 4.万用表MF-47型1只 5.555定时器1只

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

单稳态触发器只有一个稳定状态

单稳态触发器只有一个稳定状态,在外加脉冲的作用下,单稳态触发器可以从一个稳定状态翻转到一个暂态,该暂态维持一段时间又回到原来的稳态。 一、用555定时器构成单稳态触发器: 1.电路组成 如图6-7所示,其中R、C为单稳态触发器的定时元件,它们的连接点Vc与定时器的阀值输入端(6脚)及输出端Vo'(7脚)相连。单稳态触发器输出脉冲宽度tpo=1.1RC。 Ri、Ci构成输入回路的微分环节,用以使输入信号Vi的负脉冲宽度tpi限制在允许的范围内,一般tpi>5RiCi,通过微分环节,可使Vi'的尖脉冲宽度小于单稳态触发器的输出脉冲宽度tpo。若输入信号的负脉冲宽度tpi本来就小于tpo,则微分环节可省略。 定时器复位输入端(4脚)接高电平,控制输入端Vm通过0.01uF接地,定时器输出端Vo(3脚)作为单稳态触发器的单稳信号输出端。 2.工作原理 当输入Vi保持高电平时,Ci相当于断开。输入Vi'由于Ri的存在而为高电平Vcc。此时,①若定时器原始状态为0,则集电极输出(7脚)导通接地,使电容C放电、Vc=0,即输入6脚的信号低于2/3Vcc,此时定时器维持0不变。 ②若定时器原始状态为1,则集电极输出(7脚)对地断开,Vcc经R向C充电,使Vc电位升高,待Vc值高于2/3Vcc时,定时器翻转为0态。 结论:单稳态触发器正常工作时,若未加输入负脉冲,即Vi保持高电平,则单稳态触发器的输出Vo一定是低电平。 单稳态触发器的工作过程分为下面三个阶段来分析,图6-8为其工作波形图:

①触发翻转阶段: 输入负脉冲Vi到来时,下降沿经RiCi微分环节在Vi'端产生下跳负向尖脉冲,其值低于负向阀值(1/3Vcc)。由于稳态时Vc低于正向阀值(2/3Vcc),固定时器翻转为1,输出Vo 为高电平,集电极输出对地断开,此时单稳态触发器进入暂稳状态。 ②暂态维持阶段: 由于集电极开路输出端(7脚)对地断开,Vcc通过R向C充电,Vc按指数规律上升并趋向于Vcc。从暂稳态开始到Vc值到达正向阀值(2/3Vcc)之前的这段时间就是暂态维持时间tpo。 ③返回恢复阶段: 当C充电使Vc值高于正向阀值(2/3Vcc)时,由于Vi'端负向尖脉冲已消失,Vi'值高于负向阀值(1/3Vcc),定时器翻转为0,输出低电平,集电极输出端(7脚)对地导通,暂态阶段结束。C通过7脚放电,使Vc值低于正向阀值(2/3Vcc),使单稳态触发器恢复稳态。 二、单稳态触发器应用举例 利用单稳态触发器的特性可以实现脉冲整形,脉冲定时等功能。 1.脉冲整形

用555电路原理构成单稳态电路及其应用

用555电路原理构成单稳态电路 及其应用 作者:朱刚 兰州理工大学 07级自动化(一)班 学号:07220103

用555电路原理构成单稳态电路及其应用 作者:朱刚 摘要:本文应用555定时器的基本原理,构成了单稳态电路,并用555定时器构成的单稳态电路设计了楼道灯光的开关控制器,还构成了一个分频电路,可将高频脉冲变换为低频脉冲。 关键词:555定时器、单稳态电路、灯光控制器、分频器。 一、前言:555 定时器是电子工程领域中广泛使用的一种中规模集成电路,它将模拟 与逻辑功能巧妙地组合在一起,具有结构简单、使用电压范围宽、工作速度快、定时精度高、驱动能力强等优点。555 定时器配以外部元件,可以构成多种实际应用电路。广泛应用于产生多种波形的脉冲振荡器、检测电路、自动控制电路、家用电器以及通信产品等电子设备中。 二、555定时器基本原理 (参考:《数字电子技术基础》 第四版阎石) 1、555定时器内部电路如图1所 示。 2、555定时器功能表如表1。 三、用555定时器构成单稳态电路 1、电路结构

电路如图2所示,该电路在555电路的基础上,外加电阻R1,R2和电容C1组成。 2、工作原理 触发信号从TRI 端输入,没有触发信号时TRI 输入的是高电平(13 CC V >)。 接通电源时触发器可能处于0,也可能处于1。 1)、假设通电时 Q=0,则三极管T 导通,0THR ≈,图 1中R=S=1,Q=0,Vo=0,且这一状态稳定的保持住,除非TRI 端有有效的触发脉冲。 2)、假如通电时 Q=1,这时三极管T 截止,Vcc 经电阻R1向电容C1充电。当C1两端电压被充到 12 3 C CC V V =时,R=0,触发器 被置0,Vo=0,T 导通,C1经 T 放电至0,THR=0,R=S=1,电路回到稳态。 当电路处于稳态,TRI 端输入有效的触发脉冲(低电平13 CC V <)时,S=0,触发器置 1,Vo=1 。T 截止,Vcc 经R1 向电容C1充电,至12 3 C CC V V =时,R=0,触发器置0,Vo=0,T 导通,电容放电至0 ,电路又回到稳态。 电路中R2阻值较大,起到断电时为C1提供放电通路,电路正常工作时由于R2阻 值远大于R1。对输出脉冲宽度的影响可忽略。 3、输出脉冲宽度w T 在忽略电阻R2影响的情况下,输出脉 冲宽度约等于电容C1从0充电至23 CC V 的时间。 即: 11ln 1.11123 w CC Vcc T R C R C Vcc V -=≈- 图 3所示为用LM555CM 接成的单稳态电路,触发信号从TRI 输入。该单稳态电路输出脉冲宽度15w T s ≈。 四、 楼道灯光控制器

实验七设计基本触发器

实验七设计基本触发器一、实验准备 该实验不需要使用七个模块组中的功能单元,所以拨码开关状态;拨码开关MODEL_SEL5-8全置于“OFF状态,以使用JTAG下载方式,这里用户可根据自己所用的下载线来选择适当的下载方式。矚慫润厲钐瘗睞枥庑赖賃軔朧。 二、实验目的 熟悉利用Quartusll开发数字电路的基本流程和Quartusll软件的相关操作, 介绍Quartusll的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。 了解VHDL或原理图设计方法与定制IP模块的思想。 掌握基本触发器的设计思路及工作原理 三、实验原理 通过开关分别输入单bit的数据,并用开关作为控制信号,实现置位和复位。将 T触发器的结果分别在2个LED上显示。聞創沟燴鐺險爱氇谴净祸測樅。 四、实验内容 1、用VHDL语言设计D触发器和T触发器;注意时钟要用到分频电路。 2、用Quartusll软件进行编译,仿真,下载到实验平台进行验证。 五、设计原理框图 六、引脚分配情况 D A21 CLK P25 CLR F6 P SET B21 QT AA11 Q AC10 MODEL SEL1-3 均置于“OFF D触发器和

Q,QT 为D 触发器和T 触发器的输出信号,分别对应平台上的 LED0和LED7。CLR,PSET 为高电平清零和置位信号, CLK 为时钟信号。残骛楼諍锩瀨濟溆塹籟婭骤東。 七、结果分析 波形仿真 如上所述,Q,QT 作为D 触发器和T 触发器的输出信号,分别对应平台 CLR,PSET 为高电平清零和置位信号, CLK 为时 这里简单列出波形图, 实际可以自己加上其他的 八、VHDL 源程序代码 --D flip flop library IEEE; use IEEE.std_logic_1164.all; ENTITY DFFL OP IS PORT ( D: in STD_LOGIC; CLK,CLR, PSET: in STD_LOGIC;-- 时钟,清零,置位 Q: out STD LOGIC --输出 ); END DFFL OP; ARCHITECTURE FFA OF DFFL OP IS BEGIN P ROCESS(CLK ,P SET,CLR) BEGIN Q<='1'; ELSIF CLR='1' THEN Q<='0'; ELSIF CLK'EVENT AND CLK='1' THEN Name CLK CLR £ FS ET G QT Value a 11.£5 ] B B B B B B ]ps ISO. 0 ILS 11.25 ns -J ______________ -rLZFLZFLFLJnLJn_J^ 4S0 0 ns 从上图可以看出, 上的LED0和LED7,正确对应了结果。 钟信 号,都实现了相应的功能, 限于篇幅, 信号进行仿真。酽锕极額閉镇桧猪訣锥顧荭钯。 --输入 IF P SET='1' THEN --异步置位 --异步7冃零

实验七-8421码检测电路

实验七8421码检测电路的设计 姓名:张跃佳 班级:电自2班 学号: 实验时间:2015.12.24

【实验目的】 1.了解检测电路的工作原理 2.进一步掌握同步时序逻辑电路的设计方法 【实验仪器及器件】 1.实验箱、万用表、示波器 2.74LS73、74LS74、74LS00、74LS20、74LS197 【实验原理】 本实验要求设计一个8421BCD码(串行输入)检测电路。此电路是用来检测串行的8421码传输过程中是否发生错误。假定8421BCD码传送过程中是由低到高串行送数,例如十进制2(代码为0010)是按0、1、0、0次序传送的。如果在传送过程中代码发生错误,出现非法数码(不在0000到1001之间的代码)则检测电路发生一脉冲信号。 实验所用触发器为JK触发器,要求自己设计、自己安装和测试。 设计提示 本实验的设计关键是建立原始状态图和状态表。根据要求8421BCD码是由低位到高位传送,该电路每四个码元检测一次,当电路收到第四个码元时,若判断是非法码,则输出为1,否则输出为0.可见此电路为米里时序电路。 设检测电路初始状态为S ,当电路接收第一个码元后,根据输入是0还是1, 将分别转到两个不同的新状态S 1和S 2 ,从S 1 或S 2 出发,接收到第二个码元后, 又根据是0还是1,又转到两个不同的新状态,类推到接收到的第三、四码元后电路执行统一的动作。在接收到第四个码元后,根据接收的代码判断是否是非法码而确定其输出是否为1,并且电路回到初始状态S ,准备接受新的一组码组。 根据上面的分析很容易做出原始状态和状态表了。这样做出的元素状态表有15个状态,经过化简后只剩下6个状态。化简后的状态如表(一)。由简化状态表,根据状态分配原则,可得许多分配方案,其中一种分配方案如表(二),得到的结果是:

数字电子技术第25次课单稳态触发器

第25次课 单稳态触发器 ● 本次重点内容: 1、单稳态触发器的工作原理。 2、周期的计算方法。 ● 教学过程 25.1单稳态触发器 一、单稳态触发器的特点: 1、有一个稳定状态和一个暂稳状态。 2、在触发脉冲作用下,电路将从稳态翻转到暂稳态,在暂稳态停留一段时间后,又自动返回稳定状态。 3、暂稳态时间的长短取决于电路本身参数,与触发脉冲的宽度无关。 二、电路组成: 图25-1(a ) 图25-1(b ) 三、工作原理: 1、稳定状态: 接通电源前,u I 为高电平。接通电源后,U CC 经R 对电容C 充电,当电 容C 上的电压u c ≥32U CC 时,由于u I >3 1U CC ,555定时器输出为低电平。放电

管VT 导通,电容C 经放电管VT 迅速放电,u c ≈0,由于U TH <32U CC , U TR >3 1U CC ,所以555定时器保持0状态不变。稳态时,u c =0,u o =0。 2、暂稳态 在负触发脉冲u I 的作用下,低电平触发端TR 得到低于3 1U CC 的触发电 平,由于此时u c =0,U TH <32U CC , U TR <3 1U CC , 555定时器输出高电平。同时放电管VT 截止,电路进入暂稳态,定时开始。 暂稳态阶段(t1~t2),电容C 充电,充电回路为U CC →R →C →地,充电时间常数为RC ,u c 按指数上升。 3、自动返回稳定状态 当电容C 上的电压u c 上升32U CC 时,由于U TH ≥32U CC , U TR ≥3 1U CC ,555定时器输出由高电平变为低电平,放电管VT 由截止变为饱和,暂稳态结束。电容C 经放电管VT 迅速放电到0V ,由于放电管饱和导通的等效电阻较小,所以放电速度快,在这个阶段555定时器维持低电平状态。 电路返回稳态后,当下一个触发信号到来时,又重复上述过程。 可见,输出脉冲宽度t w 为电容C 上的电压u c 由0充到3 2U CC 所需的时间,其大小可用下式计算: t w =RCln 3≈1.1RC 四、用门电路构成的微分型单稳态触发器 (一)电路组成:

SQL实验七:存储过程和触发器的使用

( 二 〇 一 五 年 五 月 《数据库原理及应用》实验报告 学校代码: 10128 学 号: 201220905048 题 目:存储过程和触发器的使用 学生姓名:孙跃 学 院:理学院 系 别:数学系 专 业:信息与计算科学 班 级:信计12-2 任课教师:侯睿

一、实验目的 1.掌握存储过程的使用方法; 2.掌握触发器的使用方法。 二、实验内容 1.存储过程; 2.触发器。 三、实验程序及结果 1、存储过程 (1)创建存储过程,使用Employees表中的员工人数来初始化一个局部变量,并调用这个存储过程。 USE YGGL GO CREATE PROCEDURE TEST @NUMBER1 int OUTPUT AS BEGIN DECLARE @NUMBER2 int SET @NUMBER2=(SELECT COUNT(*)FROM Employees) SET @NUMBER1=@NUMBER2 END GO USE YGGL GO DECLARE @num int EXEC TEST @num OUTPUT SELECT @num (2)创建存储过程,比较两个员工的实际收入,若前者比后者高就输出0,否则输出1。USE YGGL GO CREATE PROCEDURE COMPA @ID1 CHAR(6),@ID2 CHAR(6),@BJ INT OUTPUT AS BEGIN DECLARE @SR1 FLOAT,@SR2 FLOAT SELECT @SR1=InCome-OutCome FROM Salary

EmployeeID=@ID1 SELECT @SR2=InCome-OutCome FROM Salary WHERE EmployeeID=@ID2 IF @ID1>@ID2 SET @BJ=0 ELSE SET @BJ=1 END USE YGGL GO DECLARE @BJ int EXEC COMPA '000001','108991',@BJ OUTPUT SELECT @BJ (3)创建添加职员记录的存储过程EmployeeADD。 USE YGGL GO CREATE PROCEDURE EmployeeAdd ( @employeeid char(6), @name char(10), @education char(4), @birthday datetime, @woekyear tinyint, @sex bit, @address char(40), @phonenumber char(12), @departmentID char(3) ) AS BEGIN INSERT INTO Employees VALUES(@employeeid,@name,@education,@birthday, @woekyear, @sex,@address,@phonenumber,@departmentID) END RETURN GO

单稳态触发器

课题:单稳态触发器 课时:讲/练二课时 教学要求: (1)理解单稳态触发器的工作原理; (2)掌握输出波形周期的估计。 教学过程: 一、微分型单稳态触发器 1、单稳态触发器的功能特点:只有一个稳定状态的触发器。如果没有外来 触发信号,电路将保持这一稳定状态不变。只有在外来触发信号作用下,电路才会从原来的稳态翻转到另一个状态。但是,这一状态是暂时的,故称为暂稳态,经过一段时间后,电路将自动返回到原来的稳定状态。 2、功能:常用于脉冲的整形和延时。 3、电路组成: vo经过R、C组成的微分电路,耦合 到门G2的输入端,故称微分型单稳态电路。 4、工作原理: 1)电路的稳态:无触发信号输入时,v I为高 电平。由于电阻R很小,B端相当于接地,门 G2的输入信号为低电平0,v o输出高电平1 态。 2)电路的暂稳态:当输入端A加入低电 平触发信号时,门G1的输出为高电平1,通过电容C耦合,门G2 的输入信号为高电平1,v o输出低电平0态。 3)暂稳态期间:v o1高电平对C充电,使B端的电平也逐渐下降。 4)自动恢复为稳态:当B端的电平下降到关门电平时,门G2关闭,输出电压又上跳为高电平。 5、输出脉冲宽度:T W≈0.7RC。 二、集成单稳态触发器-CT74121 (一)外引线排列及引出端符号 Q:暂稳态正脉冲输出端; Q:暂稳态负脉冲输出端; TR+:为正触发(上升沿触发)输入端; TR一A、TR一B:两个负脉冲(下降沿触发)输入端;

Cext:为外接电容端; Rint:为内电阻端; Rext/Cext:为外接电阻和电容的公共端; Vcc、GND、NC。 (二)逻辑功能及简要说明 1、外引线排列图: 2、输出脉冲宽度T W由定时元件R、C决定。T W≈0.7RC。作业:P26713-9、13-10

实验七 触发器的仿真

实验七触发器的仿真 实验目的 1.用逻辑图和VHDL语言设计D锁存器,并进行仿真与分析; 2.参看Maxplus中器件7474(边沿D触发器)的逻辑功能,用VHDL语言设计边沿触发式D触发器,并进行仿真与分析。 3.参看Maxplus中器件7476(边沿JK触发器)的逻辑功能,用VHDL语言设计边沿触发式JK触发器,并进行仿真与分析。 1.D锁存器(D Latch) 实验设计思想 使能端EN输入为1时,输出Q与输入D值相同;使能端EN输入为0时,输出Q保持不变。 实验原理图 实验VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ex71 IS PORT( C,D:IN STD_LOGIC; Q,QN:BUFFER STD_LOGIC); END ex71; architecture bhv OF ex71 IS BEGIN PROCESS(C,D,Q) BEGIN IF (C='1') THEN Q<=D;ELSE Q<=Q;END IF; QN<=NOT Q; END PROCESS; END bhv; 实验波形仿真

Preset , Clear and Complementary Outputs) 实验原理图

实验VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity ex72 is port( D,CLK,PR_L,CLR_L:IN std_logic; Q,QN:out std_logic); end ex72; architecture vhb of ex72 is signal PR,CLR:STD_LOGIC; BEGIN process(CLR_L,CLR,PR_L,PR,CLK) begin PR<=not PR_L;CLR<=not CLR_L; if(CLR AND PR)='1'then Q<='1';QN<='1'; elsif CLR='1' then Q<='0';QN<='1'; elsif PR='1'then Q<='1';QN<='0'; elsif (CLK'event and CLK='1')then Q<=D;QN<=not D; end if; end process; end vhb; 实验波形仿真

相关主题
文本预览
相关文档 最新文档