当前位置:文档之家› 频率计数器

频率计数器

频率计数器
频率计数器

[键入文字] [键入文字]

目录

第一章设计项目的分析:

1.1 设计原理

1.2 设计要求

1.3 设计思路

第二章项目工作原理及模块工作原理

2.1 项目工作原理

2.2 频率测量模块的工作原理

2.3 周期测量模块的工作原理

2.3.1 直接周期测量法

2.3.2 等精度周期测量法

2.4 脉宽测量模块的工作原理

2.5 占空比测量模块的工作原理

第三章系统设计方案

3.1 等精度数字频率计项目设计方案

3.1.1等精度数字频率计的原理图

3.1.2系统的主要组成部分

3.1.3系统的基本工作方式

3.1.4 CPLD/FPGA测频专用模块的VHDL程序设计

3.2 测频/测周期的实现

3.3 控制部件设计

3.4 计数部件设计

3.5 测量脉冲宽度的工作步骤

第四章主要VHDL源程序

4.1 频率计测试模块

4.2 计数模块

4.3 测频、周期控制模块

4.4 测脉宽、占空比控制模块

4.5 自校/测试频率选择模块

4.6 计数器二频率切换模块

第五章项目硬件测试及仿真结果

5.1 硬件试验情况

5.2 仿真结果

第六章设计总结

附录一参考文献

第一章设计项目的分析

1.1 设计原理

频率计用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1s。闸门时间也可以大于或小于1s。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测得频率值刷新就越快,但测得的频率精度就受影响。

1.2 设计要求

(1) 对于频率测试功能,测频范围为0.1 Hz~70 MHz;对于测频精度,测频全域相对误差恒为百万分之一。

(2) 对于周期测试功能,信号测试范围与精度要求与测频功能相同。

(3) 对于脉宽测试功能,测试范围为0.1 μs~1 s,测试精度为0.01 μs。

(4) 对于占空比测试功能,测试精度为1%~99%。

1.3 设计思路

利用计数器A对时钟脉冲信号进行计数,同时使用另一个计数器B对被测信号计数。当测量时钟脉冲信号的计数器A累积到一定数值时,将计数器B的结果传送到触发器中并通过一个时钟脉冲锁存,并译码送到七段数码管输出。为了使测量误差尽可能小,可以在被测信号的上升沿使计数器A和计数器B同时计数,为此,可添加一个D触发器,以被测信号作为D触发器的时钟信号,高电平为输入端,输出端Q作为两个计数器的计数允许信号。其原理可用图1表示。

基于传统测频原理的频率计的测量精度将随被测信号的频率的下降而降低,在使用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。

第二章项目工作原理及模块工作原理

2.1 项目工作原理

图2-1 等精度数字频率计工作原理图

图2-1中“预置门控制信号”CL可由单片机发出,可以证明,在1秒~0.1

秒时间选择的范围内,CL的时间宽度对测频精度几乎没有影响,在此设其宽度

为Tpr。BZH和TF模块是两个可控的32为高速计数器,BENA和ENA分别是

它们的计数允许信号端,高电平有效。

标准频率信号从BZH的时钟输入端BCLK输入,设其频率为Fs;经整形后

的被测信号从与BZH相似的32为计数器TF的时钟输入端TCLK输入,设其真

实频率值为Fxe,被测频率为Fx。测频原理说明如下:

图2-2 TOP 模块图

测频开始前,首先发出一个清零信号CLR ,使两个计数器和D 触发器置0,同时通过信号ENA ,禁止两个计数器计数。这是一个初始化操作。

然后由单片机发出允许测频命令,即令预置门控信号CL 为高电平,这时D 触发器要一直等到被测信号的上升沿通过时Q 端才被置1,与此同时,将同时启动计数器BZH 和TF ,进入“计数允许周期”。在此期间,BZH 和TF 分别对呗测信号和标准信号同时计数。当Tpr 秒后,预置门信号被单片机置为低电平,但此时两个计数器仍没有停止计数,一直等到随后而至的呗测信号的上升沿到来时,才通过D 触发器将这两个计数器同时关闭。

被测频率值为Fx ,标准频率为Fs ,设在一次预置门时间Tpr 中对被测信号计数值为Nx ,对标准信号的计数值为Ns ,则下式成立:

Fx/Nx=Fs/Ns

由此可推得:

Fx=(Fs*Nx )/Ns

最后通过控制SEL 选择信号和64位至8位的多路选择器MUX64—8,将计数器BHZ 和TF 中的两个32位数据分8

此读入单片机并按照上式进行计算和结果显示。

2.2 频率测量模块

图2-3 自校/测试频率选择模块图

图2-4 计数器二频率切换模块

(1)直接测频法:把被测频率信号经整形电路处理后加到闸门的一个输入端,只有在闸门开通时间T(以秒计)内,被计数的脉冲送到十进制计数器进行计数。(2)组合测频法:是指在高频时采用的直接测频法,低频时采用直接测量周期法测信号的周期,然后换算成频率。

(3)倍频法:是指把频率测量范围分成多个频段,使用倍频技术,根据频段设置倍频系数,将经整形的低频信号进行倍频后再进行测量,对高频段则直接进行测量。被频法较难实现。

(4)等精度测频法

2.3 周期测量模块

图2-5 测频、周期控制模块图

(1)直接周期测量法:用被测信号经放大整形后形成的方波信号直接控制计数门控电路,使主门开放时间等于信号周期Tx,时标为Ts的脉冲在主门开放时间进入计数器。设在Tx期间计数值为N,可以根据以下公式来算得被测次你好周期:

Tx=N*Ts

经误差分析,可得结论:用该测量法测量时,被测信号的频率越高,测量越大。(2)等精度周期测量法:该方法在测量电路和测量精度上与等精度频率测量

完全相同,只是在进行计算时公式不同,用周期1/T代换频率f即可,其计算公式为:

Tx=(Ts*Ns)/Nx

2.4 脉宽测量模块

图2-6测脉宽、占空比控制模块图

在进行脉冲宽度测量时,首先经信号处理电路进行处理,限制只有信号的70MHZ幅度及其以上部分才能输入数字测量部分。脉冲边沿被处理得非常陡峭,然后送入测量计数器进行测量。测量电路在检测到脉冲信号的上升沿时倒开计数器,在下降沿时关闭计数器,设脉冲宽度为Twx,计算公式为:

Twx=Nx/fs

2.5 占空比测量模块

对于占空比K的测量,可以通过测量正反两个脉宽的计数值来获得。设正脉宽的计数值N1,对负脉宽的计数值为N2,则周期计数值为N1+N2,于是K为:K=N1/(N1+N2)*%

第三章系统设计方案

3.1等精度数字频率计项目设计方案

3.1.1等精度数字频率计的原理

等精度数字频率计涉及到的计算包括加、减、乘、除,耗用的资源比

较大,用一般中小规模CPLD/FPGA芯片难以实现。因此,我们选择单片机和CPLD/FPGA的结合来实现。电路系统原理框图如图3-1所示,其中单片机完成整个测量电路的测试控制、数据处理和显示输出;CPLD/FPGA完成各种测试功能;键盘信号由AT89C51单片机进行处理,它从CPLD/FPGA读回计数数据并进行运算,向显示电路输出测量结果;显示器电路采用七段LED动态显示,由8个芯片74LS164分别驱动数码管。

图3-1 等精度数字频率计电路系统原理图

3.1.2等精度数字频率计主要由以下几个部分组成

(1)信号整形电路。用于对待侧信号进行放大和整形,以便作为PLD器件的属于信号。

(2)测频电路。测频电路是测频的核心电路模块,可以由FPGS等PLD器件担任。

(3)单片机电路模块。用于控制FPGA的测频操作和读取测频数据,并作出相应数据处理。安排单片机的P0口直接读取测试数据,P2口香FPGA发控制命令。

(4)100MHZ的标准频率信号源。本模块采用高频稳定度和高精度度的晶振作为标准频率发生器,产生100MHZ的标准频率信号直接进入FPGA。

(5)键盘模块。可以用5个键执行测试控制,一个是复位键,其余是命令键。

(6)数码显示模块。可以用7个数码管显示测试结果,最高可表达百万分之

一的精度。考虑到提高单片机I/O口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。

3.1.3系统的基本工作方式如下

(1) P0口是单片机与FPGA的数据传送通信口,P1口用于键盘扫描,实现各测试功能的转换;P2口为双向控制口。P3口为LED的串行显示控制口。系统设置5个功能键:占空比、脉宽、周期、频率和复位。

(2) 7个LED数码管组成测量数据显示器,另一个独立的数码管用于状态显示。

(3) BCLK为测频标准频率50 MHz信号输入端,由晶体振荡源电路提供。(4)待测信号经放大整形后输入CPLD/FPGA的TCLK。

3.1.4 CPLD/FPGA测频专用模块的VHDL程序设计

利用VHDL设计的测频模块逻辑结构如图4所示,其中有关的接口信号规定如下:

(1) TF(P2.7):TF=0时等精度测频;TF=1时测脉宽。

(2) CLR/TRIG(P2.6):当TF=0时系统全清零功能;当TF=1时CLRTRIG的上跳沿将启动CNT2,进行脉宽测试计数。

(3) ENDD(P2.4):脉宽计数结束状态信号,ENDD=1计数结束。

(4) CHOICE(P3.2):自校/测频选择,CHOICE=1测频;CHOICE=0自校。

(5) START(P2.5):当TF=0时,作为预置门闸,门宽可通过键盘由单片机控制,START=1时预置门开;当TF=1时,START有第二功能,此时,当START=0时测负脉宽,当START=1时测正脉宽。利用此功能可分别获得脉宽和占空比数据。

(6) EEND(P2.3):等精度测频计数结束状态信号,EEND=0时计数结束。

(7) SEL[2..0](P2.2,P2.1,P2.0):计数值读出选通控制。

3.2 测频/测周期的实现

(1) 令TF=0,选择等精度测频,然后在CONTRL的CLR端加一正脉冲信号以完成测试电路状态的初始化。

(2) 由预置门控信号将CONTRL的START端置高电平,预置门开始定时,此时由被测信号的上沿打开计数器CNT1进行计数,同时使标准频率信号进入计数器CNT2。

(3) 预置门定时结束信号把CONTRL的START端置为低电平(由单片机来完

成),在被测信号的下一个脉冲的上沿到来时,CNT1停止计数,同时关断CNT2对fs的计数。

(4) 计数结束后,CONTRL的EEND端将输出低电平来指示测量计数结束,单片机得到此信号后,即可利用ADRC(P2.2)、ADRB(P2.1)、ADRA(P2.0)分别读回CNT1和CNT2的计数值,并根据等精度测量公式进行运算,计算出被测信号的频率或周期值。

图3-2 测频模块逻辑图

图3-3 测频/测周期的实现电路图

3.3 控制部件设

如图3-3所示,当D触发器的输入端START为高电平时,若FIN端来一个上升沿,则Q端变为高电平,导通FIN→CLK1和FSD→CLK2,同时EEND被置为高电平作为标志;当D触发器的输入端START为低电平时,若FIN端输入一个脉冲上沿,则FIN→CLK1与FSD→CLK2的信号通道被切断。

图3-4 测频与测周期控制部分电路

3.4 计数部件设计

计数部件模块图

计数部件电路原理图

图3-4中的计数器CNT1/CNT2是32位二进制计数器,通过DSEL模块的控制单片机可分4次将其32位数据全部读出。

3.5 脉冲宽度测量和占空比测量模块设计

3.5.1脉冲宽度测量原理图

根据2.4节中脉宽测量原理,设计如图3-4(CONTRL2)所示的电路原理示意图

图3-4 测脉宽、占空比控制模块原理图

3.5.2测量脉冲宽度的工作步骤

(1) 向CONTRL2的CLR端送一个脉冲以便进行电路的工作状态初始化。

(2) 将GATE的CNL端置高电平,表示开始脉冲宽度测量,这时CNT2的输入信号为FSD。

(3) 在被测脉冲的上沿到来时,CONTRL2的PUL端输出高电平,标准频率信号进入计数器CNT2。

(4) 在被测脉冲的下沿到来时,CONTRL2的PUL端输出低电平,计数器CNT2被关断。

(5) 由单片机读出计数器CNT2的结果,并通过上述测量原理公式计算出脉冲宽度。CONTRL2子模块的主要特点是:电路的设计保证了只有CONTRL2被初始化后才能工作,否则PUL输出始终为零。

只有在先检测到上沿后PUL才为高电平,然后在检测到下沿时,PUL输出为低电平;ENDD输出高电平以便通知单片机测量计数已经结束;如果先检测到下沿,PUL并无变化;在检测到上沿并紧接一个下沿后,CONTRL2不再发生变化直到下一个初始化信号到来。占空比的测量方法是通过测量脉冲宽度记录CNT2的计数值N1,然后将输入信号反相,再测量脉冲宽度,测得CNT2计数值N2则可以计算出占空比:

占空比=N1/(N1+N2 )*%

第四章主要VHDL源程序

4.1 -等精度频率计测试模块DJDPLJ.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DJDPLJ IS

PORT(CHEKF, FINPUT, CHOICE: IN STD_LOGIC;

START, CLRTRIG, FSTD, TF: IN STD_LOGIC;

SEL: IN STD_LOGIC_VECTOR(2 DOWNTO 0);

OO: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);

EEND: OUT STD_LOGIC; --CPBZ

ENDD: OUT STD_LOGIC);

END ENTITY DJDPLJ;

ARCHITECTURE ART OF DJDPLJ IS

COMPONENT FIN IS --自校/测试频率选择模块例化

PORT(CHKF, FIN, CHOIS: IN STD_LOGIC;

FOUT: OUT STD_LOGIC);

END COMPONENT FIN;

COMPONENT CONTRL IS --测频、周期控制模块例化PORT(FIN, START, CLR, FSD: IN STD_LOGIC;

CLK1,EEND, CLK2, CLRC: OUT STD_LOGIC);

END COMPONENT CONTRL;

COMPONENT CNT IS --计数模块的例化

PORT(CLK, CLR: IN STD_LOGIC;

Q: OUT STD_LOGIC_VECTOR(31 DOWNTO 0)); END COMPONENT CNT ;

COMPONENT CONTRL2 IS --测脉宽、占空比控制模块例化

PORT(FIN, START, CLR: IN STD_LOGIC;

ENDD, PUL: OUT STD_LOGIC);

END COMPONENT CONTRL2;

COMPONENT GA TE IS --计数器二频率切换模块例化PORT(CLK2, FSD, CNL, PUL: IN STD_LOGIC;

CLKOUT: OUT STD_LOGIC);

END COMPONENT GA TE;

SIGNAL INCLK: STD_LOGIC;

SIGNAL FOUT, CLRC: STD_LOGIC;

SIGNAL CLK1, CLK2, CLKOUT, PUL: STD_LOGIC;

SIGNAL Q1, Q2: STD_LOGIC_VECTOR(31 DOWNTO 0);

BEGIN

OO<= Q1(7 DOWNTO 0) WHEN SEL="000" --当SEL输入000时将Q1赋给OO

ELSE Q1(15 DOWNTO 8) WHEN SEL="001" ELSE

Q1(23 DOWNTO 16) WHEN SEL="010" ELSE

Q1(31 DOWNTO 24) WHEN SEL="011" ELSE

Q2(7 DOWNTO 0) WHEN SEL="100" ELSE

Q2(15 DOWNTO 8) WHEN SEL="101" ELSE

Q2(23 DOWNTO 16) WHEN SEL="110" ELSE

Q2(31 DOWNTO 24) WHEN SEL="111" ELSE

"00000000";

FENPIN: PROCESS(FSTD) IS

BEGIN

IF FSTD'EVENT AND FSTD='1' THEN --由FSTD=’1’装载新数据

INCLK<=NOT INCLK;

END IF;

END PROCESS FENPIN;

FCH: FIN PORT MAP(CHKF=>CHEKF, FIN=>FINPUT, CHOIS=>CHOICE, FOUT=>FOUT);

CON: CONTRL PORT MAP(FIN=>FOUT, START=>START, CLR=>CLRTRIG, FSD=>INCLK,

CLK1=>CLK1,

EEND=>EEND, CLK2=>CLK2, CLRC=>CLRC);

CONT1: CNT PORT MAP(CLK=>CLK1, CLR=>CLRC, Q=>Q1);

CONT2: CNT PORT MAP(CLK=>CLKOUT, CLR=>CLRC, Q=>Q2);

CON2: CONTRL2 PORT MAP(FIN=>FOUT, START=>START, CLR=>CLRC, PUL=>PUL, ENDD=>ENDD);

GATE1: GA TE PORT MAP(CLK2=>CLK2, FSD=>INCLK, CNL=>TF,

PUL=>PUL, CLKOUT=>CLKOUT);

END ARCHITECTURE ART;

4.2--计数模块CNT.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT IS

PORT(CLK, CLR: IN STD_LOGIC;

Q: OUT STD_LOGIC_VECTOR(31 DOWNTO 0));

END ENTITY CNT;

ARCHITECTURE ART OF CNT IS

SIGNAL CNT: STD_LOGIC_VECTOR(31 DOWNTO 0); --定义CNT的数据类型

BEGIN

PROCESS(CLK, CLR) IS

BEGIN

IF CLR='1' THEN CNT<="00000000000000000000000000000000";

--利用IF语句确定CNT的数据

ELSIF CLK'EVENT AND CLK='1' THEN CNT<=CNT+1;

END IF;

END PROCESS;

Q<=CNT; --将最后确定的CNT数据赋给Q

END ARCHITECTURE ART;

4.3-测频、周期控制模块CONTRL.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CONTRL IS

PORT(FIN, START, CLR, FSD: IN STD_LOGIC;

CLK1, EEND, CLK2, CLRC: OUT STD_LOGIC);

END ENTITY CONTRL;

ARCHITECTURE ART OF CONTRL IS

SIGNAL QQ1: STD_LOGIC;

BEGIN

PROCESS(FIN, CLR, START) IS

BEGIN

IF CLR='1' THEN QQ1<='0'; --给QQ1赋值

ELSIF FIN'EVENT AND FIN='1' THEN QQ1<=START;

END IF;

END PROCESS;

CLRC<=CLR; EEND<=QQ1;

CLK1<=FIN AND QQ1; --FIN和QQ1的值相与后赋给CLK1 CLK2<=FSD AND QQ1; --FSD和QQ1的值相与后赋给CLK2 END ARCHITECTURE ART;

4.4-测脉宽、占空比控制模块CONTRL2.VHD LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CONTRL2 IS

PORT (FIN, START, CLR: IN STD_LOGIC;

ENDD, PUL: OUT STD_LOGIC);

END ENTITY CONTRL2;

ARCHITECTURE ART OF CONTRL2 IS

SIGNAL QQ: STD_LOGIC_VECTOR(3 DOWNTO 1);

SIGNAL A0, B0, C0, F2: STD_LOGIC;

SIGNAL S: STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

S(0)<=QQ(3); S(1)<=QQ(2);

PROCESS(START, S) IS

BEGIN

IF START='1' THEN F2<=FIN; --确定F2的数据

ELSE F2<=NOT FIN;

END IF;

IF S=2 THEN PUL<='1'; --如果S=2则PUL输出高电平

ELSE PUL<='0'; --否则PUL输出低电平

END IF;

IF S=3 THEN ENDD<='1'; --如果S=3则ENDD输出高电平

ELSE ENDD<='0'; --否则ENDD输出低电平

END IF;

END PROCESS;

A0<=F2 AND QQ(1); --将F2和QQ(1)的数据相与再赋给A0

B0<=NOT A0; --将A0的数据取反赋给B0

C0<=NOT F2; --将F2的数据取反赋给C0

PROCESS(C0, CLR) IS

BEGIN

IF CLR='1' THEN QQ(1)<='0';

ELSIF C0'EVENT AND C0='1' THEN QQ(1)<='1';

END IF;

END PROCESS;

PROCESS(A0, CLR) IS

BEGIN

IF CLR='1' THEN QQ(2)<='0'; --如果CLR输入低电平则QQ(2)输出低电平

ELSIF A0'EVENT AND A0='1' THEN QQ(2)<='1';

END IF;

END PROCESS;

PROCESS(B0, CLR) IS

BEGIN

IF CLR='1' THEN QQ(3)<='0';

--如果CLR输入高电平则QQ(2)输出低电平

ELSIF B0'EVENT AND B0='1' THEN QQ(3)<='1';

END IF;

END PROCESS;

END ARCHITECTURE ART;

4.5-自校/测试频率选择模块FIN.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY FIN IS

PORT (CHKF, FIN, CHOIS: IN STD_LOGIC;

FOUT: OUT STD_LOGIC);

END ENTITY FIN;

ARCHITECTURE RTL OF FIN IS

BEGIN

FOUT<=(FIN AND CHOIS) OR (CHKF AND NOT CHOIS);

-- FIN 和CHOIS的值相与再和CHKF 和CHOIS取反的值相与的值相或最后将--相或的值赋给FOUT。

END ARCHITECTURE RTL;

4.6-计数器二频率切换模块GA TE.VHD

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY GA TE IS

PORT (CLK2, FSD, CNL, PUL: IN STD_LOGIC;

CLKOUT: OUT STD_LOGIC);

END ENTITY GATE;

ARCHITECTURE ART OF GA TE IS

BEGIN

PROCESS(CLK2, PUL, FSD, CNL) IS

BEGIN

--如果CNL输入低电平则将CLK2的值赋给CLKOUT

IF CNL='0' THEN CLKOUT<=CLK2;

ELSE CLKOUT<=PUL AND FSD;

--否则将PUL和FSD相与的值赋给CLKOUT

END IF;

END PROCESS;

END ARCHITECTURE ART;

第五章项目硬件测试及仿真结果

5.1 硬件试验情况

本系统既含有FPGA自编程硬件设计电路,又含有单片机控制电路,整个系统比较复杂,因此我们采用自底向上的调试方法,也就是先进行各个单元电路的软件仿真和硬件调试,在各个单元电路调试好后再进行系统联调,最后进行硬件的编程固化及系统的组装。

引脚绑定结果

5.2仿真结果

图5-1 TOP

令TF=0,然后在CONTRL的CLR端加一正脉冲信号以完成测试电路状态的初始化。由预置门控信号将CONTRL的START端置高电平,预置门开始定时,此时由被测信号的上沿打开计数器CNT1进行计数,同时使标准频率信号进入计数器CNT2。预置门定时结束信号把CONTRL的START端置为低电平(由单片机来完成),在被测信号的下一个脉冲的上沿到来时,CNT1停止计数,同时关断

CNT2对fs的计数。计数结束后,CONTRL的EEND端将输出低电平来指示测量计数结束,单片机得到此信号后,即可利用ADRC(P2.2)、ADRB(P2.1)、ADRA(P2.0)分别读回CNT1和CNT2的计数值,并根据等精度测量公式进行运算,计算出被测信号的频率或周期值。

图5-2 计数模块CNT

图5-3 测频、周期控制模块CONTRL.VHD

当D触发器的输入端START为高电平时,若FIN端来一个上升沿,则Q端变为高电平,导通FIN→CLK1和FSD→CLK2,同时EEND被置为高电平作为标志;当D触发器的输入端START为低电平时,若FIN端输入一个脉冲上沿,则FIN→CLK1与FSD→CLK2的信号通道被切断。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

单片机课程设计6位数字显示计时(DOC)

单片机 课程设计报告书 课题: 6 位数显频率计数器院(系):机电工程学院 专业:机械设计制造及其自动化 姓名: 学号: 2014年1月14日

目录 一、前言---------------------------------------------------- 1.1、课程设计任务------------------------------------------------ 1.2、课程设计任务完成要求------------------------ 1.3、设计目的----------------------------------------------------- 二、方案提出与论证------------------------------------- 2.1频率测量原理与方法----------------------------------- 三、系统硬件设计---------------------------------------- 3.1电路原理图总图------------------------------------------------- 3.1.1电源供电电路-------------------------------------------------- 3.1.2 单片机时钟电路---------------------------------------------- 3.1.3单片机复位电路----------------------------------------------- 3.1.4显示及驱动电路----------------------------------------------- 3 .2 AT89C51单片机芯片的功能及其参数------------------ 四、系统软件设计---------------------------------------- 4.1软件流程图------------------------------------------------------- 4.2程序调试及误差分析------------------------------------------- 五、制作PCB板及焊接元件调试-------------------- 六、元器件明细表---------------------------------------- 七、实验总结------------------------------- 八、参考文献----------------------------------------------

CLJ-BII型尘埃粒子计数器中文说明书

1.概述 (2) 2.功能 (2) 3.主要技术参数和性能 (3) 4.按键功能 (5) 5. 操作使用 (9) 6. 打印格式 (16) 7. 操作注意事项 (22) 8. 保养与维修 (23) 9. 附录 (26) 附录一 (26) 附录A(标准的附录) (32) 附录B(提示的附录) (34)

附录二 (35) CLJ—BⅡ型尘埃粒子计数器测试示例 (35) 1.概述 CLJ系列尘埃粒子计数器(以下简称仪器)用于测量洁净环境中单位体积空气内的尘埃粒子大小及数目,可作为判定洁净度等级的依据。 本仪器采用半导体激光光源,LED显示,其体积小、重量轻、检测精度高、功能操作简单明了,电脑控制,可贮存、打印采样结果,测试洁净环境十分便利。广泛应用于电子、光学、化学、食品、化妆品、医药卫生、生物制品、航空航天等部门。 2.功能 2.1 具有6个〔0.3, 0.5, 1.0, 3.0, 5.0, 10.0 (μm) 〕粒径计数通 道,6个通道粒径的粒子数同时检测。 2.2 具有8位LED数码显示(其中两位提示位,六位数值位) 0.5 0 0 0 0 0 2

提示位数值位 2.3 具有日历( 年.月.日)、时钟( 时.分.秒) 显示 2.4 具有数据存储功能 2.5 测量结果统计分析 2.6 自动判断净化级别 2.7 内置打印机 2.8 多种打印方式设置 2.9 交直流两用(CLJ-BⅡJ型) 3.主要技术参数和性能 3.1 允许最大采样浓度:3.5万颗/L 3.2 最小可测粒径:0.3μm 3.3 测量范围:100级、1000级、1万级、10万级、30万级五档3.4 粒径通道:0.3μm、0.5μm、1.0μm、3.0μm、5.0μm、10.0μm六 档 3.5 空气采样流量:2.83L/min

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

课程设计——6位数字频率计

数字电子技术课程设计报告题目:6位数字频率计 学年:2009-2010 学期: 2 专业电子信息工程班级: 学号:姓名: 指导教师: 时间:2010 年 3 月8日~2010 年 3 月11 日 浙江万里学院电子信息学院

浙江万里学院电子信息学院课程设计报告 目录 一、设计任务书 二、设计框图及整体概述 三、各单元电路的设计方案及原理说明 四、结果分析 五、体会和总结 附录一、电路设计总图 附录二、50MHz变成2Hz的模块VHDL语言源程序 附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表 第页

一、设计任务书 设计一个6位数字频率计,测量范围为000000~999999; 应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图; 应用FPGA实验开发板下载设计文件,实现电路的功能。 二、设计框图及整体概述 1.设计框图 2、主要芯片及作用 T触发器:将2HZ的频率翻转成1HZ。 74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。74374:是8位的锁存器,可以选用3个来设计24位的锁存器。74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。 7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明 数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。 因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。 50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。 三、各单元电路的设计方案及原理说明 1. 时钟分频模块 VCC clk_50m INPUT clk_1hz OUTPUT clk1clk fenpin inst PRN CLRN T Q TFF inst2 VCC 时钟分频原理图 原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。

流量频率分析计算

附件1 流量频率分析计算 根据业主提供的1966年至2010年共计45年的流量统计,其中1980年、1988年、2002年、2003年这4年10月中旬没有流量记录,进行频率分析计算如下。 各设计频率下的洪水流量采用矩法进行计算: 1. 计算经验频率: 计算公式为 %1 += n m P 式中 P-----经验频率; m-----洪水资料从大到小排列序号; n-----洪水资料全部项数,此处取n=41。 列表计算10月中旬平均流量经验频率:见表一 2.统计参数的初步计算: 平均流量 83 .22141 05.9095== = ∑n Q Q 变差系数C v 偏态系数C s 以上三式中 Q i -----系列变量,共计41个; Q -----系列变量均值; n -----系列项数;n=41; C v -----变差系数; C s -----偏态系数。 76 .1082.383.221)141(83.2214135.8084508)1(2222 2==-?-=--=∑Q n Q n Q C V 64.4)3()(3 33 =--=∑V i S C Q n Q Q C

表一:10月中旬平均流量频率决算表 年份10月中旬平 均流量 3 序号 按流量排序 Qi(m3/s) Qi2 经验频率 Pm(%) 1966 99.28 12341.345481873.0 2.4 1967 180.40 2902.19813946.80 4.8 1968 86.94 3896.9804429.61 7.1 1969 49.82 4413.43170924.36 9.5 1970 131.61 5343.64118088.45 11.9 1971 75.64 6319.3101952.49 14.3 1972 37.32 730693636.00 16.7 1973 176.67 8230.953314.81 19.0 1974 107.16 9213.7445684.79 21.4 1975 191.44 10193.2737353.29 23.8 1976 43.22 11191.44 36649.45 26.2 1977 47.34 12180.932724.81 28.6 1978 119.29 13180.40 32543.44 31.0 1979 58.48 14176.67 31213.63 33.3 1981 153.63 15165.2327300.95 35.7 1982 144.32 16153.7423635.99 38.1 1983 60.07 17153.63 23603.33 40.5 1984 17.49 18144.32 20826.97 42.9 1985 96.30 19131.61 17320.64 45.2 1986 97.07 20119.29 14230.10 47.6 1987 92.99 21109.3211950.86 50.0 1989 62.41 22107.16 11482.52 52.4 1990 57.35 2399.28 9857.04 54.8 1991 65.322497.07 9423.52 57.1 1992 319.32596.30 9272.85 59.5 1993 3062692.99 8646.85 61.9 1994 165.232786.94 7559.31 64.3 1995 180.92875.64 5720.72 66.7 1996 343.642965.324266.70 69.0 1997 230.93062.41 3894.63 71.4 1998 902.193160.07 3608.70 73.8 1999 2341.343258.48 3419.85 76.2 2000 896.93357.35 3288.83 78.6 2001 213.743449.82 2482.03 81.0 2004 413.433547.34 2240.94 83.3 2005 10.743646.962205.24 85.7 2006 15.93743.22 1867.91 88.1 2007 193.273837.32 1392.99 90.5 2008 153.743917.49 305.78 92.9 2009 46.964015.9252.81 95.2 2010 109.324110.74115.35 97.6 ∑9095.05 9095.05 8084508.3 平均值221.83 221.83

数字频率计数器的设计(要点说明

1.实习目的 电子线路实习时了配合模拟电子技术基础课程的教学而开设的。采用EAD 技术中的multisim软件来对模拟电路进行仿真运行,让学生完成EDA技术方面的初步训练,培养起掌握新技术的能力,以适应当今电子技术的飞速发展。 以计算机仿真为基础的电子设计自动化即EAD技术已成为当代电子电路及集成电路设计中不可缺少的重要手段。multisim是一个优秀的电子技术训练工具,利用它可以更灵活的进行电路实验,并在实验室难以达到的实验条件下进行模拟,从而提高学生设计和分析电路的能力。通过综合性设计能使学生会解决较复杂实际问题的能力,为其后续课程的学习和以后从事实际工作打下坚实的基础。

2.实习内容 2.1 multisim简介 Multisim 11是IIT公司推出Multisim 11之后的Multisim最新版Multisim11提供了全面集成化的设计环境,完成从原理图设计输入、电路仿真分析到电路功能测试等工作。当改变电路连接或改变元件参数,对电路进行仿真时,可以清楚地观察到各种变化对电路性能的影响。 EDA是在计算机辅助设计技术的基础上发展起来的计算机软件系统。与早期的CAD软件相比,EDA软件的自动化程度更高,功能更完善,运行速度更快,而且操作页面友善,有良好的数据开放性和互换性。 电子工作平台Electronice Workbench 软件是加拿大 Interactive Image Technologies 公司于八十年代末,九十年代初推出的电子电路仿真的虚拟电子工作台软件。它具有一些特点: (1).采用直观的图形界面创造电路,在计算机屏幕上模拟真实实验室的工作台,绘制电路图需要的元件,电路仿真需要的测试仪器均可直接从屏幕上获取。(2).软件仪器的控制面板外形和操作方式都与实物相似,可以实事显示测量结果。 (3).EWB软件带有丰富的电路元件库,提供多种电路分析方法。 (4).作为设计工具,它可以同其他电路分析.设计和制板软件交换数据。(5).EWB还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况。 (6).熟悉常用电子仪器测量方法,因此非常适合电子类课程的教学和实验。这里,我们向大家介绍EWB软件的初步知识,基本操作方法,电路图的绘制,虚拟仪器的使用及基本分析方法。

固有频率的计算

2.8.6.1 液压传动的固有频率 2.8.6.1.1 概述 液压传动装置的固有频率,对于闭环系统的动态特性和系统计算的原点,是一个重要的参数。从稳定性观点来看,一个闭环系统,若系统具有较高的固有频率,则会有一些问题。可粗略地划分为如下的3个频率区: ?低频:3~10Hz,重型机械、机械手、手动设备、注射机。 中频:50~80Hz,位置控制的机床。? ?高频:>100Hz,试验机、注射机、压机。 2.8.6.1.2 基本公式 计算弹簧质量系统固有频率的基本公式为: 式中:(1/s) m=质量(kg) C=弹簧刚度() 弹簧刚度“液压刚度”C,主要由受压的油液体积决定,由下式确定, 式中:E=液压油的弹性模量 =1~1.4×109() =1~1.4×104(bar) A2=油缸面积的平方(m4) V=油液体积(m3) 如基本公式已经表明的那样,一个液压传动系统的固有频率,取决于执行器液压马达或液压缸的尺寸,和驱动的质量。 系统中的其他元件,例如调节阀,也有自已的固有频率。因为整个闭环系统的角频率,是由系统中动态特性最低的元件决定的,因而也要注意闭环调节阀的极限频率。此值在50到150Hz的范围。 2.8.6.1.3 双出杆液压缸 让活塞处于缸的中间位置,得到: 式中:AR=油缸环形面积(┫) h=油缸行程(m) 注:对于死容积,应预先给行程h增加20~50%的附加值。 人们都明确地了解到,活塞面积与行程之比,对固有频率有着重要的影响。A:h的系数也可表示为λ=“长径比”。从提高固有频率观点考虑,较大的面积和较短的行程是比较有利的。面积的确定,还要由其他的一些因素,如规格大小、压力、体积流量等一同来考虑。 在作这些考察时,管道的容积未加考虑。很显然,总要尽可能地减小死容积,这就是说,阀与缸之间的管道短些、刚性大些,有利于提高固有频率。 上面计算固有频率,是按活塞处于中间位置的情况得到的一个最小固有频率值,这是实践中处于最不利情况下必须达到的数值。 例1已知:D=50mm,d=32mm,m=50kg≌[ ],h=500mm=0.5m,E=1.4?109 解: 2.8.6.1.4 单出杆缸

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

频率计数器

一、系统设计 1. 设计的任务与要求 1.1 设计任务: 设计并制作一台闸门时间为1s的数字频率计。 1.2 设计要求 (1)频率和周期的测量: (a)被测信号为正弦波,频率范围为1Hz到10MHz;(b)给测信号的有效值电压范围为50mV到1V;(c)测量相对误差的绝对值不大于104-。 (2)时间间隔测量功能: (a)被测信号为方波,频率范围为100Hz到1MHz;(b)给测信号的峰值电压范围为50mV到1V;(c)被测时间间隔的范围为0.1us到100ms;(d)测量相对误差的绝对值不大于102-。 (3)测量数据刷新时间不大于2s,并能自动显示单位。 发挥要求 (1)频率和周期测量的正选信号频率范围为1Hz到100MHz,其他要求同基本要求(1)和(3)。 (2)频率和周期测量时被测正弦信号的最小有效值电压为10mV,其他要求同基本要求(1)和(3)。 (3)增加脉冲信号占空比的测量功能。

2. 总体方案的论证与比较 基于设计数字频率计可以采用三种方法,第一可以通过数字电路实现,由于题目要求精度要到104-,数显的方式无法实现;第二可以通过FPJA可编程器件进行实现,虽然用FPJA设计,比数字电路和stm32更简易,但编程复杂,运算能力欠缺;第三采用stm32开发板进行实现,不管是其精度和运算能力,还运行速度快,选择stm32来设计。制作一台时间为1s的闸门,利用stm32软件编程设计,被测范围为1Hz到10MHz,考虑到精度的要求,低频利用放大电路进行增幅,再通过软件计算输入显示,高频通过分频电路降低测量误差。时间间隔测量通过通道信号的输入给放大整流电路,通过整形后的波形测出Ta-b,被测范围可通过内部时钟频率设定一个时标频率,到时读出。 2.1 放大模电路块 方案一:为了将待测信号整形成能接受的脉冲信号,满足显示,可通过放大器后接一个单门限电压比较器(LM339),这样虽然能完成整形工作,但电路抗干扰能力差,带来数据误差的影响。 方案二:通过AD8009芯片设计的放大电路,在用AD8055把输入为正弦波的信号输出为方波,频率不发生变化。从芯片功能的满足和误差方面考虑,采用方案二。 2.2 频率测试电路模块 方案一:在放大整形电路后,信号频率还存在显示的误差很大,考虑对频率进行晶体振荡器来解决。对于小信号的频率不进行倍频,虽然倍频可以减小测量误差,但对于低频信号误差很小;采用256分频电路通过74HC74把高频信号分频。 方案二:把频率信号经脉冲形成电路后加到闸门电路的输入端,直接通过测试一段时间后,被计数的脉冲的值由十进制计数器进行计数,设计数值为M,则频率 f =M / T。最终选择方案一。

LZJ-01D尘埃粒子计数器使用说明书

LZJ-01D 尘埃粒子计数器(激光大屏液晶显交直流两用)执行标准Q/320503 SX0022002 使 用 说 明 书

使用方法 1.开机 (1)交流开机:把随机提供的交直流变换电源线,其中交流插头部分插入AC220V电源插座上,另一端直流源插座插入仪器后面板充电插头口内,按下后面板左下部的电 源开关,显示屏即产生显示(第一屏)表示开机正常。 (2)直流开机:机内电池已装好,按下电源开关即可实现开机功能,显示屏显示第一屏内容。 (3)电池安装:开机前电池未安装,则在直流开机时必须先要安装电池,把后面板下部的电池盒盖上两个固定螺钉拧下,盒盖取下,看清电池“+”“-”与盒内“+”“-” 方向一致,再把电池推入,用手按紧,同时把盒盖盖住用螺钉拧紧固定即可。 第一屏 开机显示 2.修改日期、时间、周期、置信度测量 仪器开机后显示屏显示第一屏内容,这时可核对日期、时间、周期、置信度等参数是否符合要求,符合即可按测量或置信度键进行采样测试,如果不符,则可按下述步骤进行修改设定。(1)在日期左侧有一个圆点指示符。 (2)如果日期显示正确不修改,按“▼”键(它具有移位功能)指示符移到时间项。如果日期不正确要修改,则按“确定键”,表示要修改,同时第一位0变为0表示这 一位数可修改,按“▲”键修改成正确数,(“▲”键具有0-9-0的数字功能)。然 后按移位键“▼”,修改符移到该项第二位4,按“▲”键修改成正确数,……类似 步骤可把年月日修改正确,最后按“确定”键确认。 (3)日期正确并确认后按“▼”键,指示符下移到时间,同样按“▲”“▼”键把时间修改正确,并按“确定”键确认,再按“▼”键使指示符移到周期。(周期表示采用时 间) (4)按上述(2)(3)步骤对周期、置信度的点数A,次数N进行修改设定,直到“确定”键确认。 (5)删除数据时,按“▲”键至5,按“确定”键,修改符“___”处出现9,表示仪器内部数据全部被删除。 3.测量 (1)一般测量 按“测量”键,屏幕变为第二屏所示:

简易数字频率计设计报告

简易数字频率计设计报告 目录 一.设计任务和要求 (2) 二.设计的方案的选择与论证 (2) 三.电路设计计算与分析 (4) 四.总结与心得..................................... 错误!未定义书签。2 五.附录........................................... 错误!未定义书签。3 六.参考文献....................................... 错误!未定义书签。8

一、 设计任务与要求 1.1位数:计4位十进制数。 1.2.量程 第一档 最小量程档,最大读数是9.999KHZ ,闸门信号的采样时间为1S. 第二档 最大读数是99.99KHZ ,闸门信号采样时间为0.1S. 第三档 最大读数是999.9KHZ ,闸门信号采样时间为10mS. 第四档 最大读数是9999KHZ ,闸门信号采样时间为1mS. 1.3 显示方式 (1)用七段LED 数码管显示读数,做到能显示稳定,不跳变。 (2)小数点的位置随量程的变更而自动移动 (3)为了便于读数,要求数据显示时间在0.5-5s 内连续可调 1.4具有自检功能。 1.5被测信号为方=方波信号 二、设计方案的选择与论证 2.1 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图 2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号 图2-2 频率测量算法对应的方框图 输入电路 闸门 计数电路 显示电路 闸门产生

整体方框图及原理 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态

洪水频率计算(规范方法)

A1洪水频率曲线统计参数的估计和确定 A1.1 参数估计法 A1.1.1矩法。对于n 年连序系列,可采用下列公式计算各统计参数 n 系列项数。 对于不连序系列,其统计参数的计算与连序系列的计算公式有所不同。 如果 在迄今的N 年中已查明有a 个特大洪水(其中有I 个发生在n 年实测或插补系列 中),假定(n-l )年系列的均值和均方差与除去特大洪水后的(N-a )年系列的 相等,即X N 』= X n4,S n 』=S n 4,可推导出统计参数的计算公式如下: — 1 a N — a n X 二丄C X j X i ) (A5) N J j n — I 4 附录A 洪水频率计算 均值 均万差 或 变差系数 偏态系数 或 式中 lUi-X)2 n-1 二 X i 2 -n ([X i )2 n7 (X i - X)3 i £ (n —1)( n —2)X 3C ; n n n n n 2 v X ; _3 n^ X i X 2 2(^ X J 3 i # i£ i 住 i 仝 : X i --------- 系列变量(i=1,…,n ); (A1) (A2) (A3) (A4)

式中 X j --------- 特大洪水变量(j=1,…,a ); X i ――实测洪水变量(i=l +1,…,n )o A1.1.2概率权重矩法。概率权重矩定义为 皮尔逊川型频率曲线的三个统计参数不能用概率权重矩的显式表达。但经 推导有: Cs = N_1 一)2 N JX j —X)3 活二X i -对 (A6) (A7) (N -1)( N _2)X Cv 1 . M . = o xF J (x)dF j=0,1,2,… (A8)

CE系列计数器使用说明书

CE系列计数器使用说明书一、基本特点二、型号说明 三、仪表技术指标 四、操作面板说明五、仪表的操作说明 8:48H×96W 供电电源 整机功耗 继电器触点容量继电器寿命 绝缘电阻强度环境条件 输入信号 输入阻抗 输入速度AC220V±10% 50/60Hz <3W 250VAC/3A或30VDC/5A 机械寿命:500万次; 电气寿命:10万次(额定负载内) ≥20MΩ;1.5KV/1M -10~50℃(不结冰);35~85% RH(湿度) 方波、正弦波脉冲信号2V≤H≤30V:0≤L≤1V ≥10KΩ 30/1000cps A、SET:设定键及确认键 ; :左移键; :减键; ▲:增加键; RST键:复位键 B、在操作菜单参数过程中,同时按 +▲键可退出参数 设定并保存 C、仪表参数设定流程: OUT预置值 (预置值设定) 预设密码( 面板按键锁 ★单路计数,单路报警输出 ★轻触按键设定仪表参数,操作极其简单,避免了拔码型仪表易 坏的缺点; ★具有手动自动复位,掉电保持计数值、参数锁定保护功能; ★可自由设定延时输出时间; ★外供DC12V电源; ★带比例系数及显示小数设定功能; ★适合应用于包装机械、食品机械、电 电缆机械、切纸机械、 木工机械等行业 線 输入信号类型 小数点位置调整 比例系数 计数速度 输出模式 延时时间 停电记忆 注:修改参数方法:按 鍵令下排闪动, 再 键修改,后按SET鍵確認剛修 改的參數。

九 、计数器输出动作模式 注:若本接線图与实际仪表接線图有差别, 请您按实际仪表接線图接線 。 CE4 ★RST端子为高电平触发 输出 输出 六、仪表外型及安装开孔尺寸 (单位:mm) 型号 C E 4 C E7 C E8 面板尺寸 H×W 48×48 72×72 48×96 壳体尺寸 h×w×L 45.5×45.5×94 69×69×94 45.5×90.5×90 开孔尺寸 a×b 46.5×46.5 70x70 46.5×91.5

简易数字显示频率计的设计

简易数字显示频率计的设计 摘要:本文应用NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路,实现可测量1HZ-99HZ这个频段的数字频率计数器。 关键词:脉冲;频率;计数;控制 1 引言 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量显得很重要。测量频率的方法有很多,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。 2 电子计数器测频方法 电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 3 简易数字频率计电路组成框图 本设计主要运用数字电路的知识,由NE555构成时钟电路,7809构成稳压电源电路,CD4017构成控制电路,CD40110和数码管组成计数锁存译码显示电路。从单元电路的功能进行划分,该频率计由四大模块组成,分别是电源电路、时钟电路(闸门)、计数译码显示电路、控制电路(被测信号输入电路、锁存及清零)。电路结构如图1所示。 图1 简易数字频率计电路组成框图 4 单元模块电路设计

4.1电源电路 在电子电路中,通常都需要电压稳定的直流电源供电。小功率的稳压电源的组成如图2所示,它由电源变压器、整流电路、滤波电路和稳压电路四部分组成。 图2 电源电路 220V市电经220V/12V变压器T降压,二极管桥式整流电路整流,1000uF电容滤波后送人7809的输入端(1脚)。7809的第二脚接地,第三脚输出稳压的直流电压,C7、C8是为了进一步改变输出电压的纹波。红色发光管LED指示电源的工作状态,R9为LED的限流电阻,取值为5.1K。 4.2 时钟电路 电路如图3所示,由NE555构成的多谐振电路,3脚输出振荡脉冲,其中LED为黄色发光二极管,R1为5.1K,R2为1K,R3为10K,C1,C5为100UF,C4为0.01UF,C2为1000PF,R PE 选取10K。 图3 时钟电路 4.3计数、显示电路

简单频率计的制作

一.设计的基本原理和框图 1.1基本原理: 数字频率计是用数字显示被测信号的频率的仪器,被测信号可以是正弦波,方波或者其他周期性变化的信号,它的基本原理是时基信号发生器提供标准的时基脉冲信号,若其周期为1s则门控电路的输出信号持续时间亦准确到1s。闸门电路有标准秒信号控制,当秒信号到来时闸门开通,信号通过闸门送到计数译码显示电路,秒信号结束时闸门关闭,计数器停止计数,由于计数器记得脉冲数N 的是一秒内的累积数,所以被测频率是NHZ。闸门时间可以取大于或者小于1秒的值,测得的频率时间间隔与闸门时间的取值成正比,在这里取的闸门时间为1s。 在此,数字频率计由分频器,片选电路,计数器,锁存器,译码电路和显示电路作为主要组成部分。 1.2设计框图如图1.1所示:

图1.1 二.单元电路设计 2.1分频电路模块 分频器在总电路中有两个作用。由总图框图中分频器有两个输出,一个给计数器,一个给锁存器。时钟信号经过分频电路形成了20分频后的门信号。另一个给锁存器作锁存信号,当信号为低电平时就锁存计数器中的数。 分频电路图如图2.1 图2.1 分频电路图 2.2片选信号电路模块 这个电路有两个用途:一是为后面的片选电路产生片选信号,二是为译码模块提供选择脉冲信号。 电路图如图2.2

图2.2 片选信号电路图 2.3计数器模块 计数器模块为该电路中的核心模块,它的功能是:当门信号为上升沿时,电路开始计算半个周期内被测信号通过的周期数,到下升沿后结束。然后送给锁存器锁存。 计数器电路图如图2.3所示: 图2.3 计数器电路图 2.4锁存器模块 在分频信号的下降沿到来时,锁存器将计数器的信号锁存,然后送给编译模块中。其电路图如图2.4所示:

颗粒计数器说明书

N(C)-6颗粒计数器 使 用 说 明 书 新乡市东风过滤技术有限公司

1.概述 N(C)-6颗粒计数器采用光阻法(遮光式)原理研制,用于液压系统油路中颗粒污染的实时监控。同时,因其内置了精密计量泵,可实现低粘度油液的离线(瓶式)检测,可广泛应用于航空、航天、电力、石油、化工、交通、港口、冶金、机械、汽车制造等领域中的液压油、润滑油、变压器油(绝缘油)、汽轮机油(透平油)、齿轮油、发动机油、航空煤油、水基液压油等的固体颗粒污染度检测,及对有机液体、聚合物溶液中的颗粒杂技的检测。 1.1性能特点 ·采用光阻法(遮光式)原理。 ·高精度传感器保证分辨力和准确性。 ·精密计量泵实现进样速度恒定和进样体积精确控制。 ·LCD显示,薄腊触键操作,标准串行RS232接口由上位机控制。 ·内置GB/T14039-2002(ISO4406:1999)、NAS1638、GJB420A-96等标准,可给出所测样品的污染度等级。并可根据用户的要求,内置用户所需标准。 ·可接ISO4402或GB/T18854-2002(ISO11171:1999,JJG066-95)等标准进行标定、校准。·适用在线式检测或低粘度瓶式取样检测。 1.2技术指标 ·光源:半导体激光器·检测样品的温度:<50℃ ·检测范围:NAS1638 00级~>12级·测试重复性:<5%(计数值) ·灵敏度:1μm(ISO4402)或4μm(C)·测试准确度:±0。5个污染度等级(ISO11171,GB/T18854-2002) ·供电:100-265V AC,50Hz±1% ·测量通道:6个可任意设定的粒径尺寸通道·环境温度:10℃~40℃

简易数字频率计电路设计

简易数字频率计电路设计

摘要 请对内容进行简短的陈述,一般不超过300字 关键字:周期;频率;数码管,锁存器,计数器,中规模电路,定时器 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本章要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、数码管、时基电路、逻辑控制、译码显示电路几部分组成。

目录 前言 (1) 1.数字频率计的原理 (2) 2.系统框图 (3) 3.系统各功能单元电路设计 (3) 3.1 时基电路设计 (3) 3.2 放大整形电路 (4) 3.3 逻辑控制电路 (5) 3.4 锁存单元 (6) 3.5 分频电路 (7) 3.6 显示器 (7) 3.7 报警电路 (8) 4.系统总电路图 (10) 结束语 (11) 参考文献 (12)

前言 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

相关主题
文本预览
相关文档 最新文档