当前位置:文档之家› 模拟集成电路(精)

模拟集成电路(精)

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

《集成电路原理及应用》课后答案

集成电路原理及应用(第3版) 谭博学 苗汇静 主编 课后习题答案 第二章 模拟集成电路的线性应用 2.9 试分析图1所示电路是什么电路,有何特点?图中设 3 4 21R R R R =。 (图1) 解:第一级运放为同相放大器。对A 1:由“虚断”和“虚短”得 i 1=i 2,v -1=v +1=u 1i , 则u 1i = 1211R R R u o +,即11 21)1(i o u R R u +=, 对A 2:由“虚断”和“虚短”得 i 3=i 4,v -2=v +2=u 2i , 则 4 2321R u u R u u o i i o -=-,即1342 34)1(o i o u R R u R R u -+= 代入u 1o 得))(1( 123 4 i i o u u R R u -+=, 因两个输入信号均从同相端输入,所以输入阻抗比较高。该电路为高输入阻抗的差动放大器。 2.11 求图3所示电路的增益A f ,并说明该电路完成什么功能。

解:该电路由两个集成运放构成,A1为主放大器接成反相运算放大器,A2为辅助放大器,A2也接成反相放大器,利用A2对A1构成正反馈,是整个电路向信号源索取的电流极少。 主放大器A 1:由“虚断”和“虚短”得 2 1R u R u o i -= ,则A f =121o o i i u u R u u R ===- 辅助放大器A2的电压放大倍数:221222 2o o VF i o u u R A u u R = ==- 该电路为自举电路,目的是提高电路的输入电阻。 由1i i i i U U R I I I = = - 由 12i o U U R R =-和321 2o U U R R =-得32i U U = 所以 1i i i U U I R R = - 因此1 1 i i i U RR R I R R = = - 当1R R =时,i R →∞,1I I = 2.12 求图4所示电路输出电压与输入电压的表达式,并说明该电路完成什么功能。

模拟集成电路基础知识整理

当GS V 恒定时,g m 与DS V 之间的关系 当DS V 恒定时,g m 、DS I 与GS V 之间的关系 通过对比可以发现,DS V 恒定时的弱反型区、强反型区、速度饱和区分别对应于当GS V 恒定时的亚阈值区、饱和区、线性区(三极管区)。 跨导g m 在线性区(三极管区)与DS V 成正比,饱和区与GS TH V V -成正比 DS g GS TH V V - 饱和区的跨导

NMOS 1、截止区条件:GS TH V V < 2、三极管区(线性区)条件:TH GD V V < 电压电流特性:()21 2DS n GS TH DS DS W I Cox V V V V L μ?????=-?- 3、饱和区条件:TH GD V V > 电压电流特性:()2 1 (1)2DS n GS TH DS W I Cox V V V L μλ= -+ 4、跨导: 就是小信号分析中的电流增益,D GS dI gm dV = () n GS TH W gm Cox V V L μ=- gm =2DS GS TH I gm V V = - 5、输出电阻就是小信号分析中的r0:10DS r I λ≈ PMOS 1、截止区GS THp V V > 2、三极管区(线性区)条件:THP DG V V < 电压电流特性:()21 2DS p GS TH DS DS W I Cox V V V V L μ?????=-? - 3、饱和区条件:THP DG V V > 电压电流特性:()2 1 (1)2DS p GS TH DS W I Cox V V V L μλ= -- 4、跨导和输出电阻与NMOS 管一样

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计原理_试题库1

《模拟集成电路设计原理》试卷(答题卷)(1) 一、填空题(共30分,每空格1分) 1. MOSFET 是一个四端器件,现在大多数的CMOS 工艺中,P 管做在_____中,并且,在大 多数电路中,P 管的衬底与______(高或低)电平相连接,这样连接的原因是使得_________________________________________________。 2. 对增强型NMOS 来说,让其处于饱和时的条件为_______________________________, 增强型PMOS 处于饱和时的条件为__________________________________________。 3. 在两级运放中,通常是用第一级运放实现_____________,用第二级运放实现 _____________。 4. 实际工艺中,本征阈值电压并不适用于电路设计,因此在器件制造过程中,通常通过 向沟道区注入__________来调整阈值电压,其实质是改变氧化层(栅氧)界面附近衬底的_______________。 5. 阈值电压为发生强反型时的栅压,对增强型NMOS 管来说,发生强反型时的条件为 __________________________________________________。 6. 折叠式共源共栅运放与套筒式共源共栅结构相比,输出电压摆幅_______,但这个优点 是以较大的________、较低的_______________、较低的_____________和较高的____________为代价得到的。 7. 对于一个负反馈系统来说,有前馈网络A 和反馈网络β,那么这个系统的开环增益为 _______,闭环增益为________________,环路增益为____________。 8. 对于一个单极点系统来说,单位增益带宽为80MHz ,若现在带宽变为16MHz ,则环路增 益为_________,闭环增益为_______。 9. 为了使系统稳定,零点应处于________平面,并且让极点尽量______。 10. 对单级共源、共漏和共栅放大器来说,dB f 3带宽最小的为__________,原因是由于 _______________的存在,dB f 3带宽最大的为__________。 11. MOSFET 的版图由电路中的器件所要求的_____________和工艺要求的 ________________共同决定。例如,选择适当的W/L 来确定跨导和其它电路参数,而L 的最小值由工艺决定。 12. 对于理想的差动电路来说,电路将只对_______________进行放大,而且完全抑制

实验室常用模拟集成电路

实验室常用模拟集成电路 序号型号名称 M001 2P4M 可控硅 M002 4N35 通用光电耦合器 M003 6N135 数字逻辑隔离 M004 24C01 1K/2K 5V I2C 总线串行EEPROM M005 24LC08B 8K I2C 总线串行EEPROM M006 93C46 1K 串行EEPROM M007 AD574 12-BIT,DAC 转换器 M008 BM2272 遥控译码器 M009 CA3140E 4.5MHz,BiMOS 运算放大器 M010 TLP521 可编程控制AC/DC 输入固态继电器 M011 7805 正5V 三端稳压集成电路 M012 LM7905 负5V 三端稳压集成电路 M013 LA7806 B/W 电视机同步、偏转电路,16PIN M014 7906C 负6V 三端稳压集成电路 M015 7808A 正8V 3 端稳压器,输入35V,功率20.8W M016 7908AC 正8V 3 端稳压器,输入35V,功率12W M017 LM7809 正9V 三端稳压集成电路 M018 ADS7809 正9V 三端稳压集成电路 M019 TA7810S 0.5A,3 端稳压器 M020 TDA7910N 负10V 3 端稳压器,输入-35V,1A,功率12W M021 IRF7811A N-MOSFET,功率场效应管,28V/11.4A/2.5W M022 7812A 正12V 3 端稳压器,输入35V,功率20.8W M023 LM7912 1A 3 端稳压器 M024 AD7813 2.5V-5.5V,400kSPS,8/10-BIT,采样,ADC 转换器M025 LM7815 正15V 三端稳压集成电路 M026 LM7915 负15V1A 3 端稳压器 M027 AD7819 2.7V-5.5V,200KSPS,8-BIT,采样,ADC 转换器 M028 LA7820 彩色电视机同步/偏转电路 M029 L7920C 负20V1A 3 端稳压器 M030 LC7821 模拟开关 M031 LM7824 正24V 三端稳压集成电路 M032 KA7924 负24V1A 3 端稳压器 M033 AD7825 3Vto5V、2MSPS、1/4/8 通道、8BitAD 转换器 M034 PJ7925CZ 负25V1A 3 端稳压器 M035 ADS7826 10/8/12 位取样模拟数字转换器用2.7V 的电源 M036 IRF840 功率场效应管,大功率、高速, 500V/8A/125W M037 ADC0809 8-BIT up 兼容8 通道多路复用器A/D 转换器 M038 ADC0832 2 路,8-BIT 串行输入/输出A/D 转换多路选择 M039 LM324N 四路运算放大器 M040 LM339 低功耗低失调电压四比较器 M041 LM358 低功率双运算放大器

电子科大罗萍模拟集成电路期末试题3

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零 四 至二零零 五 学年第 二 学期期 中 《微电子电路设计》课程考试题( 120 分钟) 考试形式: 闭卷 考试日期 2005年 5 月 日 1. Identify the source, drain, gate and bulk terminals, and find the current I in the transistors in the following Figure. Assume 2'/25V A K n μ=,V TN =0.75V . (16pts) (problem4.3) Solution: (a) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 5=--=-==-= A V A V V V V L W K I I DS DS TN G n DS μμ2082.022.075.02.51102522' =??? ? ?--=??? ??--== (b) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 2.5)2.0(5=--=-==--=-= A V A V V V V L W K I I DS DS TN G n DS μμ2182.022.075.02.51102522' -=??? ? ?--=??? ??---=-=

………密………封………线………以………内………答………题………无………效…… 2. Design the bias circuit in the following figure to give the Q-point of mA I C 10= and V V EC 3= if the transistor current gain is 75=F β and V V BE 7.0=.What is the Q-point if the current gain of the transistor is actually 40? (15 pts) ( problem 5.62 ) Solution: Ω →Ω=-==Ω→Ω=-===+= =-=+-=183.171333.0)7.03( ,68069110013)310( 13.101075 76 1 ,10)(10k mA V I -V V R mA V R mA mA I I I R I R I I V B EB EC B C C F F F C E C E C B C EC ββα 0)5()(6801800007.05=--+---B C B I I I mA I I A V I B F C B 108.8, 7.202)680(41180007.010===Ω +-= βμ V mA V V EC 35.4680)311.8(10=Ω-= )35.4,11.8(:int V mA po Q -? 3. Find V OH , V OL and the power dissipation (for v o =V OL ) for the logic inverter with the saturated load in the following figure. Assume 0=γ, and 2' /25V A K n μ=,V V TN 1=. (16 pts) (Problem7.12m) Solution: V V V V For TN D D O H 6.216.3,0=-=-==γ

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

模拟集成电路设计的九个层次

[转贴] 模拟集成电路设计的九个层次来源: 一篇好文章, 摘录于此,以示激励. 一段 你刚开始进入这行,对PMOS/NMOS/BJT什么的只不过有个大概的了解,各种器件的特性你也不太清楚,具体设计成什么样的电路你也没什么主意,你的电路图主要看国内杂志上的文章,或者按照教科书上现成的电路,你总觉得他们说得都有道理。你做的电路主要是小规模的模块,做点差分运放,或者带隙基准的仿真什么的你就计算着发文章,生怕到时候论文凑不够。总的来说,基本上看见运放还是发怵。你觉得spice 是一个非常难以使用而且古怪的东西。 二段 你开始知道什么叫电路设计,天天捧着本教科书在草稿纸上狂算一气。你也经常开始提起一些技术参数,Vdsat、lamda、early voltage、GWB、ft之类的。总觉得有时候电路和手算得差不多,有时候又觉得差别挺大。你也开始关心电压,温度和工艺的变化。例如低电压、低功耗系统什么的。或者是超高速高精度的什么东东,时不时也来上两句。你设计电路时开始计划着要去tape out,虽然tape out看起来还是挺遥远的。这个阶段中,你觉得spice很强大,但经常会因为AC仿真结果不对而大伤脑筋。 三段 你已经和PVT斗争了一段时间了,但总的来说基本上还是没有几次成功的设计经验。你觉得要设计出真正能用的电路真的很难,你急着想建立自己的信心,可你不知道该怎么办。你开始阅读一些JSSC或者博士论文什么的,可你觉得他们说的是一回事,真正的芯片或者又不是那么回事。你觉得Vdsat什么的指标实在不够精确,仿真器的缺省设置也不够满足你的要求,于是你试着仿真器调整参数,或者试着换一换仿真器,但是可它们给出的结果仍然是有时准有时不准。你上论坛,希望得到高手的指导。可他们也是语焉不详,说得东西有时对有时不对。这个阶段中,你觉得spice虽然很好,但是帮助手册写的太不清楚了。 四段 你有过比较重大的流片失败经历了。你知道要做好一个电路,需要精益求精,需要战战兢兢的仔细检查每一个细节。你发现在设计过程中有很多不曾设想过的问题,想要做好电路需要完整的把握每一个方面。于是你开始系统地重新学习在大学毕业时已经卖掉的课本。你把能能找到的相关资料都仔细的看了一边,希望能从中找到一些更有启发性的想法。你已经清楚地知道了你需要达到的电路指标和性能,你也知道了电路设计本质上是需要做很多合理的折中。可你搞不清这个“合理”是怎么确定的,不同指标之间的折中如何选择才好。你觉得要设计出一个适当的能够正常工作的电路真的太难了,你不相信在这个世界上有人可以做到他们宣称的那么好,因为聪明如你都觉得面对如此纷杂的选择束手无策,他们怎么可能做得到?这个阶段中,你觉得spice功能还是太有限了,而且经常对着"time step too small"的出错信息发呆,偶尔情况下你还会创造出巨大的仿真文件让所有人和电脑崩溃。 五段 你觉得很多竞争对手的东西不过如此而已。你开始有一套比较熟悉的设计方法。但是你不知道如何更加优化你手头的工具。你已经使用过一些别人编好的脚本语言,但经常碰到很多问题的时候不能想起来用awk 或者perl搞定。你开始大量的占用服务器的仿真时间,你相信经过大量的仿真,你可以清楚地把你设计的模块调整到合适的样子。有时候你觉得做电路设计简直是太无聊了,实在不行的话,你在考虑是不是该放弃了。这个阶段中,你觉得spice好是好,但是比起fast spice系列的仿真器来,还是差远了;你开始不相信AC仿真,取而代之的是大量的transient仿真。 六段 你开始明白在这个世界中只有最合适的设计,没有最好的设计。你开始有一套真正属于自己的设计方法,你会倾向于某一种或两种仿真工具,并能够熟练的使用他们评价你的设计。你开始在设计中考虑PVT的变化,你知道一个电路从开始到现在的演化过程,并能够针对不同的应用对他们进行裁减。你开始关注功耗

答案模拟集成电路基础

第五章答案 模拟集成电路基础 1.直接耦合放大电路有哪些主要特点? 优点:1)电路中无电容,便于集成化。 2)可放大缓慢变化的信号。 缺点:1) 各级放大器静态工作点相互影响。 ? 2) 输出温度漂移严重。 2.集成运算放大器的内部电路由哪几部分组成?各部分的作用是什么? 集成运算放大器的内部电路通常都由输入级、中间级、输出级及偏置电路组成。 差分输入级提供了与输出端成同相和反相关系的两个输入端。差分电路有很好的对称特性,可以提高整个电路抑制零漂的能力和其他方面的性能。 中间级主要是提供足够高的电压增益,多由一级或多级共射(共源)放大电路组成。 输出级主要是向负载提供足够的功率,属于功率放大。 偏置电路是为各级放大电路建立合适的静态工作点,它常采用各种形式的电流源电路,为各级提供小而稳定的偏置电流。 3.简述镜像电流源的工作原理及其优缺点。 CC BE o REF V V I I R -≈= ,当电源V CC 和R 确定后,I REF 就确定了,不管T 2集电极支路中的负 载R L 如何,I o 总是等于I REF ,二者关系像一面镜子,所以称电路为镜像电流源。 这种电流源的优点是结构简单,两三极管的V BE 有一定的相互温度补偿作用。但是,它也存在以下不足之处: ① 受电源的影响大。当V CC 变化时,I C2也同样随之变化。因此,这种电流源不适用于电源电压大幅度变动的场合。 ② 镜像电流源电路适用于较大工作电流(毫安数量级)的场合。

③ 由于恒流特性不够理想,三极管c 、e 极间电压变化时,i c 也会作相应的变化,即电流源的输出电阻r o 还不够大。 4.简述微电流源的工作原理及其特点。 V BE1?V BE2=?V BE =I E2 R e ≈I C2 R e , 因此,即使I C1比较大,但由于R e 的存在,将使输出电流I C2<I C1,即在R 不太大的情况下,也能获得微小输出电流。 与镜像电流源相比,微电流源具有以下特点: ①一般?V BE 很小(约几十毫伏),因而采用不大的R e 即可获得较小的输出电流I C2(微安数量级),因而称为微电流源。 ② 当电流源电压V CC 变化时,虽然I REF 与I C2也要作相应的变化,但由于R e 的作用,使V BE2<< V BE1,以至T 2的V BE2的值很小,工作在输入特性的弯曲部分,使I C2的变化远小于I REF 的变化,故提高了恒流源对电源变化的稳定性。 ③ 由于R e 引入电流负反馈,不仅提高了电路输出电流的稳定性,同时也提高了T 2的集电极输出电阻,使它更接近于理想的恒流源。 5.电流源的主要作用是什么? 1).电流源提供稳定的输出电流,可以作直流偏置电路 2).电流源直流等效电阻小,交流等效电阻大,可作有源负载 6.精密电流源电路如题图5-1所示,三个三极管的参数完全对称,电流放大系数均为β,V BE =0.7V , V CC =15V 。(1)证明:2C2REF 22I I ββ ββ+=++;(2)当β值很大时,为使输出电流I o 为30μA ,电阻R 应 为多大? (1)由以下式子得证:

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

模拟集成电路复习题1

1. 选择题(每题2分,共30分) 1. 下列关于双极型模拟集成电路隔离区划分原则中不正确的说法 是( ) A.NPN管V C相同时, 可以放在同一隔离区 B. NPN管V C和PNP管的V E相同时, 可以放在同一隔离区 C. MOS电容需要单独一个隔离区 D. 硼扩散电阻原则上可以放在同一隔离区 2. 在版图设计中, 设计规则检查称为() A. EXTRACT B. ERC C. DRC D. LVS 3. 差分对中, 不影响其共模抑制比的因素为( ) A.差分管的对称性 B. 电流源的交流阻抗 C. 输入电压幅度 D. 电阻R C1和R C2的对称性 4. 在PMOS中, 衬底上加上正电压偏置, 会使阈值电压( ) A. 增大 B 不变 C 减小 D 可大可小 5. 随着微电子工艺水平提高, 特征尺寸不断减小, 这时电路的工作电 压会() A不断提高 B. 不变 C. 可大可小 D. 不断降低 6. 下列()技术指标不能描述集成电路工艺水平? A.集成度 B.特征尺寸 C. 芯片面积 D. 输入阻抗 7. CMOS推挽放大器NMOS管和PMOS管分别工作于( ). A . NMOS管工作于截止区和线性区; PMOS管工作于截止区和线性区

B. NMOS管工作于饱和区和线性区; PMOS管工作于饱和区和线性区 C. NMOS管工作于饱和区; PMOS管工作于饱和区 D. NMOS管工作于饱和区和线性区; PMOS管工作于截止区和线性区 8. CMOS放大器的电压增益( ) E/E, E/D放大器.(所用器件相同情 况下) A. 高于 B.等于 C. 小于 D. 可能高也可能低 9. 对于电流镜的要求, 那种说法正确( ) A. 输出阻抗高 B输出阻抗低 C交流输出阻抗高 D直流输出阻抗高 10. Cascode电流镜的最小输出电压V MIN(out)的值为( ) A.V ON+V TN B.2(V ON+V TN) C. 2V ON+V TN D. V ON+2V TN 11. 正偏二级管具有( )温度特性. A . 零 B. 负 C. 正 D. 可正可负 12. 差分放大器差模电压增益与( )有关 A. 双端输入还是单端输出; B. 双端输出还是单端输出 C. 双端输入还是单端输入 D. 与输入输出形式无关 13. 在模拟和数字混合电路中, 关于电源和地线的说法正确的是( ) A.模拟和数字部分可共用地线, 不能共用电源线 B.模拟和数字部分不能共用地线, 不能共用电源线 C.模拟和数字部分不能共用地线, 能共用电源线 D.模拟和数字部分能共用地线, 也能共用电源线

模拟集成电路测试

模拟集成电路测试技术 20092123 王天亮 模拟集成电路产品测试分别在生产中的两个阶段进行,既在芯片封装前和封装后,中测的目标是挑选出合格的芯片,送去封装。之所以进行两端测试,是因为封装和测试比其他生产工业工序更为费时,并且经济消耗也很大。只能选择合格芯片进行封装和测试将提高封装后合格器件的比例。成测还是必需的,因为扯了测试要求的因素,在封装过程中还将有可能导入新的故障。 方法:数字集成电路是由故障模型驱动的,而模拟集成电路测试则基本上规范驱动,这是两种电路测试方法学上的重要区别。数字集成电路测试方法基于故障类型,最简单的是固定“0”和固定“1”故障,其失效机理是一个电路的端点固定为逻辑0和1。根据这个故障假设,通过模拟产生测试输入向量和输出响应向量集,并给出故障覆盖率。如果一个测试向量集能使故障电路的模拟输出与无故障电路的输出不同,则认为该测试向量集能检测该故障。这样就可以在正式生产以前,在设计阶段就可以通过模拟产生随后用于生产测试的测试向量,当然它同样可用于可测试分析。特别是,若为了达到一定的故障覆盖率所需测试向量集很长时,可在正式生产前重新进行设计,这样既可以减少测试集长度又能保证必要的故障覆盖。总之,数字集成电路测试领域是一个开发较好,较系统,技术成熟的领域。 而模拟集成电路上没有被普遍接受故障类型,因此到目前为止,模拟集成电路测试认识规范驱动的,即在产品和成测阶段,测试依据的是电路规范。以运算放大器为例,比如其主要规范是; DC增益>=80dB; 4kHz 的总谐波失真<=0.002%; 1MHz 的总谐波失真<=0.1%; 建立时间<=200ns; 功耗<=3mw。 最一般的方法就是按上述规范进行测试并将合格芯片拿去封装。然后进行中测,中测有些技术问题,比如探针寄生参数影响动态参数测试,所以常常只选择直流电压和电流进行测量。为了使之选择直流参数测试的方法有更好的效果,可以采用统计优化技术,其基本点是优化测试容限的分配。对模拟集成电路,规范所规定的行为时一个完整的范围。比如输入信息范围,频率范围等,测试时一般只选择其中一个子集,以放大器为例,可以提出,比如:为了测量向量电路的总谐波失真,仅选择4KHz和1MHz进行测量,是不是足够充分。 用阶跃输入响应电路的建立时间能否正确的表征其响应特性。 当电路工作电压或环境温度发生变化时,能确保正常工作吗? 集成电路测试技术是集成电路产业链中必不可少的一个重要环节,在SoC时代,虽然模拟电路所占比例越来越少,但无论其设计、工艺和测试都逐渐变成整个系统最难的环节。由于其对应工作范围几乎为全电流范围,所以需要一些特殊的方法进行测试,这就进一步提高了对测试设备的要求。在国内,这些技术都还处于发展阶段,与国外同类A TE产品具有

专升本《CMOS模拟集成电路分析与设计》_试卷_答案【精品文档】

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等, 应取为()。 (2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A 12. 下图中,其中电压放大器的增益为-A,假定该放大器为理想放大器。请计算该电路的等效输入电阻为()。 (2分) A.

模拟集成电路的设计流程

Hspice/Spectre 介绍 罗豪 2008.9.22 2009-12-7共88页1

模拟集成电路的设计流程 1.交互式电路图输入 2.电路仿真 全定制 3.版图设计 4.版图的验证(DRC LVS) 5.寄生参数提取 6.后仿真 7.流片 2009-12-7 共88页

各种仿真器简介 ?SPICE: 由UC Berkeley 开发。用于非线性DC分析,非线性瞬态分析和线性的AC分析。 ?H spice: 作为业界标准的电路仿真工具,它自带了许多器件模型,包括小尺寸的MOSFET和MESFET。Cadence提 供了hspice的基本元件库并提供了与Hspice的全面的接口。 ?Spectre: 由Cadence开发的电路仿真器,在SPICE的基础上进行了改进,使得计算的速度更快,收敛性能更好。 2009-12-7 共88页3

高精度电路仿真器 1、Spectre/SpectreRF(cadence) ? 2、Hspice/HspiceRF(avanti) ? 3、Ads(Agilent 主要针对RF) ? 4、eldo(Mentor Graphics) ? 5、saber(Synopsys) ? 2009-12-7 共88页4

Cadenc软件简介 Cadence 提供了一个大型的EDA 软件包,它包括: ?ASIC 设计 全定制IC设计工具Virtuoso Schematic Composer 电路仿真工具Analog Design Environment ?FPGA 设计 ?PCB设计 2009-12-7 共88页5

相关主题
文本预览
相关文档 最新文档