当前位置:文档之家› 数字逻辑电路(阎石 王红 第六版)第3章 门电路

数字逻辑电路(阎石 王红 第六版)第3章 门电路

数字逻辑电路习题集1

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题

1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳) 5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√) 6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳) 7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳) 8、在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。( ╳) 9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√) 10、在变量A 、B 取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√) 11、逻辑函数的卡诺图中,相邻最小项可以合并。(√) 12、对任意一个最小项,只有一组变量取值使得它的值为1.(√) 13、任意的两个最小项之积恒为0。(√) 14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳) 15、半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。(√) 三、选择题 1、下列哪些信号属于数字信号(B )。 A 、正弦波信号 B 、时钟脉冲信号 C 、音频信号 D 、视频图像信号 2、数字电路中的三极管工作在(C )。 A 、饱和区 B 、截止区 C 、饱和区或截止区 D 、放大区 3、十进制整数转换为二进制数一般采用(A ) A 、除2取余法 B 、除2取整法 C 、除10取余法 D 、除10取整法 4、将十进制小数转换为二进制数一般采用(B ) A 、乘2取余法 B 、乘2取整法 C 、乘10取余法 D 、乘10取整法 5、在(A )的情况下,函数B A Y +=运算的结果是逻辑“0” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1” 6、在(B )的情况下,函数AB Y =运算的结果是逻辑“1” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1”

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

(完整word版)《数字逻辑》(第二版)

第一章 1. 什么是模拟信号什么是数字信号试举出实例。 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或 者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型主要区别是什么 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据 输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电 路中有无统一的定时信号进一步分为同步时序逻辑电路和异 步时序逻辑电路。 4. 最简电路是否一定最佳为什么 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能 指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) 10 (3) 8 (2) 2 (4) 16 解答(1)10 = 4×103+5×102+1×101+7×100+2×10-1+3×10-2 +9×10-3 (2)2= 1×24+1×22+1×21+1×2-2+1×2-4

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

数字逻辑实验:组合逻辑电路的设计

实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制的运算规律。 实验器材 二输入四“与非”门组件3片,型号74SL00 二输入四“异或”门组件1片,型号74SL86 六门反向器门组件1片,型号74SL04 二输入四“与”门组件1片,型号74SL08 实验内容 A:一位全加/全减法器的实现 电路做加法还是做减法是由M决定的。当M=0时做加法运算,输入信号A、B和Cin分别为加数、被加数和低位来的进位,S为和数,Co为向上位的进位;当M=1时做减法运算,输入信号A、B和Cin分别为减数、被减数和低位来的借位,S为差,Co为向上位的借位。 B:舍入与检测电路设计 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1;其他情况F2=0。

实验前准备 ▽内容A:一位全加/全减法器的实现 ①根据全加全减器功能,可得到输入输出表如下: ②由以上做出相应的卡诺图:

③于是可得其逻辑电路图: ▽内容B:舍入与检测电路设计 ①根据舍入与检测电路功能,可得到输入输出表如下: ②由上做出相应的卡诺图:

③于是可得其逻辑电路图: 实验步骤 1.按要求预先设计好逻辑电路图; 2.按照所设计的电路图接线; 3.接线后拨动开关,观察结果并记录。

实验体会 本次是第一次实验,主要了解了实验平台,同时需要我们将自己设计好的电路,用实验台上的芯片来实现。由于实验所使用的线很多,芯片的接口也多,所以一定要细心,分清楚连接芯片的输入、输出端,以免接错线。

《数字电子技术基础》_阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字逻辑电路第1章习题解答

第1章 数字逻辑基础 1-1 将下列二进制数转换为十进制数。 (1) 2(1101) (2) 2(10110110) (3) 2(0.1101) (4) 2(11011011.101) 解 (1)3210210(1101)12120212(13)=?+?+?+?= (2)75421210(10110110)1212121212(182)=?+?+?+?+?= (3) 124210(0.1101)1212120.50.250.0625(0.8125)---=?+?+?=++= (4) 76431013210 (11011011.101)22222222 12864168210.50.125 (219.625)--=+++++++=+++++++= 1-2 将下列十进制数转换为二进制数和十六进制数 (1) 10(39) (2) 10(0.625) (3) 10(0.24) (4) 10(237.375) 解 (1)10216(39)(100111)(27)== (2) 10216(0.625)(0.101)(0.A)== (3)近似结果: 16210)3.0()00111101.0()24.0(D =≈ (4) 10216(237.375)(1110'1101.011)(0ED.6)== 1-3 将下列十六进制数转换为二进制数和十进制数 (1) 16(6F.8) (2) 16(10A.C) (3) 16(0C.24) (4) 16(37.4) 解 (1) 16210(6F.8)(1101111.1)(111.5)== (2) 16210(10A.C)(1'0000'1010.11)(266.75)== (3) 16210(0C.24)(1100.0010'01)(12.140625)== (4) 16210(37.4)(11'0111.01)(55.25)== 1-4 求出下列各数的8位二进制原码和补码 (1) 10(39)- (2) 10(0.625) (3) 16(5B) (4) 2(0.10011)- 解 (1)10(39)(1'0100111)(1'1011001)-==原码补码 (2) (0.1010000)(0.1010000)==10原码补码(0.625) (3) 16(5B)(01011011)(01011011)==原码补码 (4) 2(0.10011)(1.1001100)(1.0110100)-==原码补码

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

数字电子技术基础(第五版)阎石主编

辽宁石油化工大学考试题 2007 -- 2008 学年第 2 学期 课程名称:数字电子技术考试形式:闭卷 授课学院:信息与控制工程学院试卷共8 页试卷:A 适用专业班级:自动化、电气、电信、测控06级 1.(166)8=()16 =()10 =()2 2.D触发器的特征方程为,JK触发器的特征方 程为,T触发器的特征方程为。 3.能够存储二值信息或代码的器件有_____________、______________、 _______________。 4.下图所示权电阻网络D/A转换器中,若取V REF =5V,则当输入数字 量为d 3d 2 d 1 d =1101时输出电压为_____________。 5.下图中G1为TTL门电路,输出状态为_________。 二、选择题(每题3分,共15分) 1.测得某逻辑门输入A,B和输出F的波形如图所示,则F(A,B)的表达式为。

A、F=AB B、F=A+B C、F=A⊕B D、F=AB A B F 2.能实现分时传送数据逻辑功能的是()。 A、TTL与非门 B、三态逻辑门 C、集电极开路门 D、CMOS逻辑门3.由555定时器构成的单稳态触发器,其输出脉冲宽度取决于。 A、电源电压 B、触发信号幅度 C、触发信号宽度 D、外接R、C的数值 4.为了构成4096×8的RAM,需要________片1024×2的RAM。 A、16片; B、8片; C、4片; D、2片。 5.某模/数转换器的输入为0 ~10V模拟电压,输出为8位二进制数字信号(D7 ~ D0)。若输入电压是2V,则输出的数字信号为____________。 A、00100011 B、00110011 C、00100001 D、00110001 三、(6分)用卡诺图将下列逻辑函数化成最简“与或”式。 F(A,B,C,D)=∑m(0,6,9,10,12,15)+∑d(2,7,8,11,13,14) 四、(15分)某工厂有A、B、C三个车间,各需电力10千瓦,由变电所的 X、Y两台变压器供电。其中X变压器的功率为13千伏安,Y变压器的功率 为25千伏安。为合理供电,需设计一个送电控制电路。使控制电路的输出接 继电器线圈。送电时线圈通电;不送电时线圈不通电。要求利用与非门和异 或门完成设计电路。(写出真值表、列出逻辑表达式并化简、画出逻辑电路) 五、(10分)根据触发脉冲CP与输入信号D,B画出波形Q1、Q2,设Q1、Q2初 态为0。

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字电子技术第4章_组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟. 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。 (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 解:(1)ABD BC CD ABD BC CD L ++=??= (2) L B A =1 =1 =1 F F B A

(3)根据真值表可知,四个人当中C 的权利最大。 4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) 4.6试分析图所示电路的逻辑功能。 习题4.6图 解:(1)ABC C B A F )(++= 10

(2) 电路逻辑功能为:“判输入ABC 是否相同”电路。 4.7已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题4.7图 解:(1)根据波形图得到真值表: C AB BC A C B A F ++= 4.8、设∑=)14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简 单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

《数字逻辑电路》复习资料

成考复习资料 《数字逻辑电路》 复习资料1 一、逻辑函数化简 1.用代数法化简, C B A ABCDE ABC Y ++++= 2.用卡诺图法化简, ),,Σd(1,5)4,,Σm(0,Y(A,B,C,D)11,10,9321113+=, ∑d 为无关项 二、分析题 1、分析下图所示逻辑电路,列出真值表,说明其逻辑关系。 2、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测? 三、设计题 1.设计一个三输入的组合逻辑电路,当输入的二进制码小于5时,输出为0,大于等于5时,输出为1。要求: (1)列出真值表; (2)写出逻辑函数的最简与或式; (3)用非门和与非门实现该电路;

(4)用74LS138实现该电路。 74LS138功能表 2、设计一个按自然顺序变化的7进制加法计数器,计数规则为逢7进位,产生一个进位输出。要求:选用边沿JK触发器设计实现该功能的同步时序逻辑电路,并画出逻辑电路图。

成考复习资料 答案 一、逻辑函数化简 1. ABC+(ABC)+(ABCDE)=1'' 2. AC AD B A Y ++= 二、分析题 1. 逻辑表达式:1Y A B CI =⊕⊕ 2((()))()Y A B CI AB A B CI AB ''=⊕+=⊕+ 由逻辑表达式计算出真值表: A B C Y Y 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 这是一个全加器电路。 2. 答:电路的状态方程和输出方程为: 状态表和状态转移图如下:

数字逻辑电路汇总

(2分)正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 (2分)五个D触发器构成环形计数器,其计数长度为 B. 10 (2分)一个T触发器,在T=1时,来一个时钟脉冲后,则触发器() D. 翻转 (2分)数字电路中的三极管工作在 C. 饱和区或截止区 (2分)当用异步I/O输出结构的PAL A. 组合逻辑电路 (2分)用输出低点平有效的3/8译码器和逻辑门实现某一逻辑函数 A. 一定用与门 (2分)按计数过程中数字增减趋势,计数器可分为加法计数器,可逆计数器和 A. 减法计数器 (2分)五个D A. 5 (2分)四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 (2分)测试放大电路输出电压幅值与相位的变化,可以得到它的频率响应,条件是 A. 输入电压幅值不变,改变频率 (2分)下列说法正确的是() D. 竞争-冒险一般是由于信号传输延迟时间不一致引起的 (2分)为实现将JK触发器转换为D A. J=D,K=D (2分)合逻辑电路的有 D. 寄存器 (2分)三态门输出端的三种状态分别是高电平状态,低电平状态和

C. 高阻抗输出状态 (2分)存在约束条件的触发器是 C. JK触发器 (2分)欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是() B. 6 (2分)所谓三极管工作在饱和状态,是指三极管 C. 发射结反偏置,集电结正偏置 (2分)下列说法是正确的是 A. 施密特触发器的回差电压ΔU=UT+-UT- (2分)一个触发器可记录一位二进制代码它有()个稳态 C. 2 (2分)一个8选一数据选择器的数据输入端有()个 E. 8 (3分)欲使JK触发器按Qn + 1=Qn JK触发器的输入端 A. J=K=1 C. J=Q,K=Q D. J=Q,K=1 E. J=1,K=Q (3分)PLD器件的基本结构组成有 A. 与阵列 B. 或阵列 C. 输入缓冲电路 D. 输出电路 (3分)下列说法正确的是() A. 存储器容量等于字线×位线 C. 试用1024×4位的RAM构成4096×4位的存储单元,需要进行字扩展 D. 试用1024×4位的RAM构成4096×16位的存储单元,需要16片RAM (3分)逻辑表达式Y=AB可以用(CD)实现 C. 正与门

(完整word版)数字逻辑电路习题集资料

第一章数字逻辑电路基础 一、填空题 1、 模拟信号的特点是在 _____ 和 _______ 上都是 _________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 _____ 和 _______ 上都是 _________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 _____ 与 _______ 信号之间的对应 _______ 关系。(输出、输入、逻辑) 4、 用二进制数表示文字、符号等信息的过程称为 ______________ 。(编码) 5、 (11011)2 ( _______ )10,(1110110)2 ( ________ )8,(21)!0 ( _______ )2 o (27、166、10101) & (101010)2 ( _________ )10 , (74)8 ( ___________ )2 , (D7)16 ( _________ )2 o (42、111100、11010111) 7、 最基本的三种逻辑运算是 ______ 、 _________ 、 _______ o (与、或、非) 8、 逻辑等式三个规则分别是 ______ 、 _________ 、 ________ o (代入、对偶、反演) 9、 逻辑函数化简的方法主要有 _______ 化简法和 _________ 化简法。(公式、卡诺图) 10、 逻辑函数常用的表示方法有 ______ 、 _________ 和 __________ o (真值表、表达式、卡诺图、 逻辑图、波形图五种方法任选三种即可) 11、 任何一个逻辑函数的 _______ 是唯一的,但是它的 ________ 可有不同的形式,逻辑函数的各 种表示方法在本质上是 _______ 的,可以互换。(真值表、表达式、一致或相同) 12、 写出下面逻辑图所表示的逻辑函数 丫 _________ o ( Y (A B)C ) 13、写出下面逻辑图所表示的逻辑函数 丫 _________ o ( Y (A B)(A C)) 14、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 15、 半导体二极管 ________ 时,相当于短路; _______ 时,相当于开路。(导通、截止) 16、 半导体三极管作为开关元件时工作在 _________ 状态和 ___________ 状态。(饱和、截止) 、判断题 1、十进制数74转换为8421BC [码应当是(01110100)8421BCD 。 (V) >1 ^l 21 「 & B C A B

数字逻辑电路第3章复习题电子教案

数字逻辑电路第3章 复习题

一、分析题: 1、试分析如下面图(a )和图(B)所示逻辑电路,说明分别是什么逻辑功能。(10分) . 2、(10分)、试分析下图所示逻辑电路。 2 B L =1 1 A C & L & =1 & 3-1、(12分)、分析下图电路的逻辑功能。要求写出逻辑函数表达式,画出真值表,说明电路的逻辑功能。 3-2(8分)、由译码器74138和8选1数据选择器74151组成如下图所示的逻辑电路。X 2X 1X 0及Z 2Z 1Z 0为两个三位二进制数,试分析下图电路的逻辑功能。(74138是3-8线译码器,74151是8选1数据选择器)。 A 1 & B 1 & & L ≥1 ≥1 ≥1 ≥1 L A B (a) (b)

5 Y 6 D 74138 D 1 3 4 1 A Y 7 G Y 1 3 D A 5 2 D Y D A 6 G D 1 Y Y Y D 27 4 2 1 Y 2B D 74151 G2A 1 A A 2 A Y 1 X 2 X X 10 Z Z Z 2 4、(6分)由译码器74138和门电路组成的电路如下图所示,试写出L1、L2的最简表达式。 5、 6、(12分)、下图所示的TTL门电路中,要求实现下列规定的逻辑功能时,其连接有无错误?说明正确或错误的理由。如有错误请改正。 CD AB L? = 1 AB L= 2 C AB L+ = 3 +V & R P C D & L CC B A 1 B & A =1 V CC L2 & L3 C B A ≥1 (a) (b) (c) 7、(12分)、在下图(a)(b)(c)中,所有的门电路都为TTL门,设输入A、B、C的波形如图(d)所示,试分析电路的逻辑关系,写出表达式,并定量画出各输出的波形图。

数字逻辑实验-触发器及其运用和组合逻辑电路的分析教材

武汉大学计算机学院教学实验报告课程名称数字逻辑成绩教师签名 实验名称触发器及其运用和组合逻辑电 路的分析实验序号02 实验日期2012-05 -09 姓名徐佩学号2012301 500163 专业计算机 科学与 技术 年级-班2012级 计科5 班 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析) 小题分:一、实验目的 1)1.熟悉并掌握R-S、D、J-K触发器的构成、工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解触发器的简单应用。 2)1. 掌握组合逻辑电路的分析方法。 2. 掌握组合逻辑电路的设计方法,逻辑函数简化技术及芯片的使用方法。 二、实验内容 1)1. 基本R-S触发器的功能测试 2. 集成D触发器的功能测试及应用 2)1. 组合逻辑电路的分析 2. 组合逻辑电路的设计 三、实验原理 1)1用“与非门”构成的基本R-S触发器是无时钟控制低电平直接触发的触发器, 它具有置“0”、置“1”和“保持”三种功能 2在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为Q n+1=D n ,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器 2)1、逻辑电路分析,是指对一个给定的逻辑电路找出其输出与输入之间的逻辑关系。 2、逻辑电路设计的首要任务是将设计问题转化为逻辑问题,即将文字描述的设计要求抽象为一种逻辑关系。就组合逻辑电路而言,就是抽象出描述问题的逻辑表达式。

二、实验环境及实验步骤 小题分:(本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 一、实验环境 1)1. 双踪示波器 2. 74LS00 二输入四与非门 3. 74LS112 双J-K触发器 4. 74LS74 双D触发器 2)1. TD-DS实验箱 2. 示波器 3. 74LS00 二输入四与非门 4. 74LS04 六反相器 5. 74LS20 四输入二与非门 6. 74LS86 二输入四异或门 二、实验步骤 1)用相对应的芯片做实验,连接电源,测试效果;验证电路的逻辑功能。 2)结合芯片,连接实验所给的逻辑电路,测试其功能。 三、实验过程分析 小题分:(详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过 程及方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形等)

相关主题
文本预览
相关文档 最新文档