当前位置:文档之家› 北京理工大学数电期末试卷[含答案]

北京理工大学数电期末试卷[含答案]

北京理工大学数电期末试卷[含答案]
北京理工大学数电期末试卷[含答案]

课程编号:ELC06011 理工大学2010-2011学年第二学期

2009级数字电子技术基础B 期末试题A 卷

注:试题答案必须书写在答题纸上,在试题和草稿纸上答题无效。

班级 学号 成绩

一、(20分)填空

1.在如下门电路中,哪些输出端能够直接互连 bcde 。若输出端不能互连,为什么? 输出都呈现低阻抗,如果相连,如果一个门工作在高电

平,一个门工作在低电平,会使两个门部形成过电流而损坏器件67

a )

普通TTL 门电路;b )普通CMOS 门电路;c )OC 门;d )三态输

出门;e )OD 门。

2.一个4位D/A 转换器的分辨率为 1/15 1/(2^n-1) ,若参考电压V REF = 6V ,当输入码为0110时,输出电压为 6/16*(8*0+4*1+2*1+1*0)=2 V 。

3.存储容量为2K ×8位的随机存储器,地址线为 11(2的几次方就是十几根) 根,数据线为 8 根;若用1K ×4位的RAM 来实现上述存储容量,需要4 片。

4.A/D 转换器一般需要经过采样、保持、 量化 、 编码 4个过程。 5.单稳态触发器输出脉冲的频率取决于 ,输出脉冲的宽度取决于 。 6.施密特触发器有 2 个稳定状态,单稳态触发器有 1 个稳定状态,多谐振荡器 0 个稳定状态。

7.ROM 设计的组合逻辑电路如图T1所示,写出逻辑函数0Y 和1Y 的表达式。

0Y = ∑(m1,m2,m6) ,1Y = ∑(m0,m1,m5) 。

图T1

二、(10分)

将下列各式化简为最简与或式,方法不限。

1.CD D AC ABC C A F 1+++=

2.CD B BCD A C B A D C AB F 2+++=,约束条件:

答案略 三、(10分)

已知图T3中(a )(b )(c )为TTL 门电路,(d )(e )为CMOS 门电路,分别写出各电路的输出状态(0或1或高阻)或输出表达式。

A

B C D

R

V CC 2

(a ) 高电平 V L 代表低电平(b )cmos ,ABCD (c )高阻

(d ) CMOS 高阻 (e )高电平

图T3

四、(10分)

试用一片4位并行加法器74LS283(图T4)和异或门设计一个加/减法运算电路。当控制信号M=0时,实现输入的两个四位二进制数相加(Y 3Y 2Y 1Y 0=A 3A 2A 1A 0+B 3B 2B 1B 0);当M=1时,实现输入的两个四位二进制数相减(Y 3Y 2Y 1Y 0=A 3A 2A 1A 0-B 3B 2B 1B 0)。

图T4

关键:减法为补码+1

M

异或 异或 异

异或 A B C D

五、(10分)

编码器74LS148和数据选择器74LS151构成的逻辑电路如图T5所示,当

输入

7654321000001010

D D D D D D D D=,

7654321011111111

D D D D D D D D=,试分别写出所示电路输出F的表达式(要求有分析过程)。74LS148和74LS151功能表分别如表T5-1和T5-2所示。

D

1

D

D

D

D

D

D

D

图T5

表T5-2 74LS148功能表

个人建议将常用器件逻辑关系式记下来

表T5-1 74LS151功能表

可以知道74LS148编码器,74LS151数据选择器 对于数据选择器

简单可知,例如输出D0就是A2A1A0,对于D1就是A2A1A0 那么我们简单写出其逻辑表达式 F=EN(D0A1A2A0+D1A2A1A0.....略) 接下来是编码器 观察其输出为0的点 Y2=I7+I6I7+I5 I6I7+I4 I5 I6I7 其余同理,不做赘述 分别代入D0-D7,得到输出

六、(15分)

电路如图T6所示,其中Ω==k 10R R 21,F .C μ10=。

1.说明555定时器构成电路的名称,计算输出o u 的频率o f ,并计算输出o u 的占空比q 。多谐振荡器,占空比q=R1/(R1+R2) f=1/[(R1+2*R2)*C *ln2] 2.分析由触发器FF0、FF1、FF2构成的时序电路的功能,要求写出驱动方程、状态方程,输出方程,画出状态转换图,检查电路能否自启动,并说明电路功能。

R 1

R

2

C

Y

10k 10k 0.1μ

图T6

首先是D触发器,=D

先写出Q0,Q1,Q2,以及D0,D1,D2

D0=Q1Q2 D1=Q1⊕Q2 D2=Q0Q2

= = = 列出真值表

000 001

001 010

010 011

011 100

100 000

弥补不全的

101 010

110 010

111 100

画出状态转换图

因为形成环路,可以自启动

功能相当于五进制计数器

输出Y=

七、(15分)

图T7所示是用两片四位同步二进制加法计数器74LS161接成的计数器。74LS161的功能表见表T7所示。

1. 试分析电路接成的是几进制计数器,两片之间是几进制? 2. 是同步计数器还是异步计数器?异步(CP 非同一时钟) 3. 输出Y 与脉冲CP 的频率比? 1:16 4. 画出第二片74LS161(II )的状态转换图。

P

CT T

CT CP CO

LD CR

)(I 161LS 740Q 1Q 2Q 3

Q 0D 1D 2D 3

D P CT T CT CP

CO

LD

CR

)(II 161LS 740Q 1Q 2Q 3

Q 0D 1D 2D 3

D V CP

Y

图T7

表T7 74LS161的功能表

CP

CR LD

P CT T

CT 工作状态?

??

???

?

?

?

0111

10111

101

1

置零预置数保持保持(但CO =0)

计数

其中:0123T Q Q Q Q CT ????=CO

片I 的Q2与片II 的Q2都为1时置零,片1进位时激活片2,那就是说片I 从

安徽建筑大学数电期末考试(试卷A).doc

总分—=四五六七八 阅卷 复核 安徽建筑大学试卷(A卷)第1页共4页 (2013—2014学年第2学期)适用年级专业:电气、自动化、测控专业 考试课程:数字电子技术基础A 班级:学号: __________________________ 姓名: 一、填空题:(每空1分,共20 注 . 学 生 不 得 在 草 稿 纸 上 答 题, 答 题 不 得 超 出 框 体1 .十进制数3. 625的二进制数和8421 BCD码分别为() () 2.三态门输出的三种状态分别为:()、()和(). 3.主从型JK触发器的特性方程. 4.用4个触发器可以存储()位二进制数. 5.逻辑函数Y = + C的两种标准形式分别为()、 和 (). 6.将2015个“1”异或起来得到的结果是()? 是脉冲的整形电路。 8.JK 触发器、当JK二10, Q*=(),JK二11 旦Q二0,则Q*= () 9.二进制负整数-1011011,反码表示为()补码表示为( ) 10.对500个符号进行二进制编码,则至少需要()位二进制数。 11.SR触发器的特性方程为(),( )。 12.如用OV表示逻辑1, -1OV表示逻辑0,这属于()逻 辑。 二、选择题:(每题2分,共20分) :Q _ 勺 CP Q - Q I I AB C D ()2单稳态触发器的输出脉冲的宽度取决于() A.触发脉冲的宽度 B.触发脉冲的幅度 C.电路本身的电容、电阻的参数 D.电源电压的数值 ()3.下图所示施密特触发器电路中,它的回差电压等于多少 A、2v B、5v C、4v D、3v ,I ----------- ZV 8 4 s—— 6 2 555 3 (1) 1 5 -L 1+4V ()4.请判断以下哪个电路不是时序逻辑电路: A、计数器 B、寄存器 C、数据比较器 D、触发器 ()5.某电路的输入波形Ui和输出波形赤如下图所示,贝IJ

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

北京理工大学远程教育学院 操作系统 2019-2020学年

(93) 北京理工大学远程教育学院2019-2020学年第二学期 《操作系统》期末试卷(B卷) 教学站学号姓名成绩 应用题(每题20分,共100分) 1.批处理操作系统、分时操作系统和实时操作系统的特点各是什么? 2. 动态分区分配的基本分配思想是什么?常用的分配算法有哪四种,简述其含义。 3.为什么要引入缓冲区? 4.解释产生死锁的四个必要条件是什么,当预防死锁时可以通过破坏这四个条件的任意一个来实现,请分别论述破坏每个条件会产生什么影响,哪些条件能破坏哪些条件不能破坏? 5.某工厂有一个可以存放设备的仓库,总共有8个位置可以存放8台设备。生产部门生产的每一台设备都必须入库。销售部门可以从仓库提出设备供应客户。设备的出库和入库都必须借助运输工具。现在只有一套运输工具,每次只能运输一台设备,系统共使用三个信号量,S代表互斥信号量,表示运输工具;S1和S2均为同步信号量,S1表示仓库中可以存放设备的空闲位置,S2表示仓库中已经被设备占用了的位置。请设计一个能协调工作的自动调度管理系统,并利用记录型信号量写出解决此问题的程序代码,请注明信号量的初值。

(编号) 北京理工大学远程教育学院2019-2020学年第二学期 《操作系统》期末试卷(B卷)答题纸 教学站学号姓名成绩 1.答:1)批处理操作系统的用户脱机使用计算机,作业是成批处理的,系统内多道程序并发执行,交互能力差。 2)分时操作系统可以让多个用户同时使用计算机,人机交互性较强,具有每个用户独立使用计算机的独占性,系统响应及时。 3)实时操作系统能对控制对象作出及时反应,可靠性高,响应及时,但是资源利用率低。 2. 答:算法思想:将空闲分区链以地址递增的顺序连接;在进行内存分配时,从链首开始顺序查找,直到找到一块分区的大小可以满足需求时,按照该作业的大小,从该分区中分配出内存,将剩下的空闲分区仍然链在空闲分区链中。 第一种:首次适应算法(First fit) 按空闲分区依地址递增次序链接,分配内存时按顺序查找,放入第一个匹配到的空闲分区,会造成内部碎片,有着较大的浪费 第二种:最佳适应算法(Best fit) 将空闲分区按内存大小递增的顺序链接起来,分配内存时按照顺序放入第一个匹配的空闲分区。 第三种:最坏适应算法(Worst fit) 将空闲分区按容量递减的顺序链接起来,分配内存时放入第一个匹配的空闲分区,即最大的分区,造成内部碎片

安徽建筑大学数电期末考试(试卷A)

安 徽 建 筑 大学 试 卷( A 卷) 第 1 页 共 6 页 ( 2014—2015学年第2 学期 ) 适用年级专业:电气、自动化、测控专业 注 :学 生 不 得 在 草 稿 纸 上 答 题,答 题 不 得 超 出 框

( )3.下图所示施密特触发器电路中,它的回差电压等于多少 A.2v B.5v C.4v D.3v ( )4.请判断以下哪个电路不是时序逻辑电路: A.计数器 B.寄存器 C.数据比较器 D.触发器 ( )5.某电路的输入波形 Ui 和输出波形Uo 如下图所示,则该电路为: A.施密特触发器 B.反相器 C.单稳态触发器 D.JK 触发器 ( )6.已知逻辑函数 C B C A AB Y '+'+= 与其相等的函数为: A.AB B. C A AB '+ C.C B AB '+ D.C AB + ( )7.下列触发器中上升沿触发的是( )。 A.主从RS 触发器; B.JK 触发器; C.T 触发器; D.D 触发器 ( )8.下列几种A/D 转换器中,转换速度最快的是。 A.并行A/D 转换器 B.计数型A/D 转换器 C.逐次渐进型A/D 转换器 D.双积分A/D 转换器 ( )9.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值 ( )10. 指出下列电路中能够把串行数据变成并行数据的电路是( )。 A .JK 触发器 B .3/8线译码器 C .移位寄存器 D .十进制计数器 三、逻辑函数化简及形式变换:(共15分,每题5分) 1.(代数法化简为最简与或式)CD ACD ABC C A F +++'='1 2.(卡诺图法化简逻辑函数) υ

17春北理工《操作系统》在线作业

2017秋17春北理工《操作系统》在线作业 一、单选题(共20 道试题,共40 分。) 1. 操作系统中有一类程序,其执行过程不可中断,该程序叫做:( ) A. 过程 B. 子程序 C. 原语 D. 模块机制 正确答案: 2. 操作系统向用户提供了使用计算机的程序一级的接口为() A. 原语 B. 进程管理 C. 命令接口 D. 系统调用 正确答案: 3. 请求分页系统管理中,若把页面的尺寸增加一倍,程序顺序执行时,其缺页中断次数一般会:( ) A. 增加 B. 减少 C. 不变 D. 可能增加,也可能减少 正确答案: 4. 以下关于主存空间的说法中正确的是() A. 主存储器的空间分成三部分:系统区、用户区和缓冲区 B. 操作系统与硬件的接口信息、操作系统的管理信息和程序等存放在主存储器的系统区 C. 所有的程序存放在用户区 D. 存储管理是对主存储器空间的各部分如系统区、用户区等进行管理 正确答案: 5. 在可变式分区分配方案中,最佳适应算法是将空闲区在空闲区表中按()次序排列 A. 容量递增 B. 容量递减 C. 地址递增 D. 地址递减 正确答案: 6. 一个功能强的文件系统,向用户提供更加灵活的文件物理结构是:( ) A. 连续结构 B. 串联结构

C. 索引结构 D. 三者都不对 正确答案: 7. UNIX系统中,()是实现把一个进程的输出连接到另一个进程的输入功能的机制。 A. 普通文件 B. 特殊文件 C. 目录文件 D. 管道文件 正确答案: 8. 虚拟存储器的最大容量() A. 为内外存容量之和 B. 由计算机的地址结构决定 C. 是任意的 D. 由作业的地址空间决定 正确答案: 9. 以下叙述中,不正确的是() A. 采用动态重定位,在必要时可以改变装入的作业在主存中的存放区域 B. 采用动态重定位的系统支持“程序浮动” C. 采用静态重定位的系统不支持“程序浮动” D. 采用动态和静态重定位的系统都支持“程序浮动” 正确答案: 10. 吞吐量是指:( ) A. 单位时间内完成的信息量 B. 操作系统响应进程命令需要的信息量 C. 完成作业或进程所需要的信息量 D. 都不对 正确答案: 11. 计算机系统的二级存储包括() A. 主存储器和辅助存储器 B. ROM和RAM C. 超高速缓存和内存储器 D. CPU寄存器和主存缓冲区 正确答案: 12. 最佳适应算法通常是将空闲区按()排列 A. 地址大到小 B. 地址小到大 C. 空间大到小 D. 空间小到大 正确答案: 13. 适合多道程序运行的最简单的方案是( ) A. 分页式存储管理 B. 固定分区式存储管理 C. 分段式存储管理

数电期末试卷及答案(共4套)汇编

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北理工应用文在线作业答案

北京理工大学应用文写作在线作业答案 北理工应用文在线作业答案 第1阶段第一阶段 第2阶段第二阶段 计划的标题同许多事务文书一样,不必写明时限 写总结不一定要按照完成工作的时间先后顺序来写 调查报告可以用于向上级机关汇报工作,供领导决策参考 对未来一定时期的任务作出预想性安排的文种是 总结的开头包括的内容,下列不准确的一项是 调查报告在格式上没有固定的要求,一般包括 计划的种类很多,而且从不同的角度可以对其进行不同的分类,如按其内容分,可将其分为

综合性计划和 总结的正文一般包括基本情况、成绩收获、____________、经验体会等几部分内容 关于计划的主要作用,以下叙述正确的是 总结主体的主要内容包括 条款式合同适用于工程承包、科技合作、合作生产、技术引进等内容比较的经济合同。 招标、投标文书最为突出的两大特点是竞争性和公开性。 我国《广告法》中所称的广告包括商业广告和非商业广告。 下列计划标题拟定恰当的一项是 写作调查报告,在表达方式上,要做到 一篇演讲稿的结尾以极富鼓动性的言辞号召人们为某种目的、某种理想而行动起来。这种结尾的方式叫 经济活动分析报告的标题各项内容中不能省去的一项是

是审计机构或审计人员在完成某一项审计工作后,向委托者或授权者提交的情况书面报告。投标书一般是由_____________设计并送给投标单位的。 审计报告写作应注意的事项 第3阶段第三阶段 个人请柬应一人一柬,夫妻也不可合写一柬。 撰写欢迎词要大量选择感情色彩浓烈,感染力量强大的形容词、比喻词、象征词。 启事的标题可以只用事由表示。 消息的第一自然段或开头的一两句话,一般被称作(),它将消息最重要、最新鲜的事实概括出来,并吸引读者。 通讯写作首先要注意 不管是欢迎词表达“有朋自远方来,不亦乐乎”的愉悦心情,还是欢送词表达亲朋远行的依依惜别之情,都具有的特点是( )。 着重记述社会变化、风土人情和建设状况,并在报纸上常以“巡礼”、“侧记”等形式出现的新闻体裁是____________。 广播稿主要使用语言来影响听众,所以_________是其最大特色。 消息有不同的划分方法,如从写作的角度来划分,可分为()四类。 请柬结尾的礼貌用语有 一般书信常用于个人之间的交往,也可以是个人写给单位或集体的 申请书内容比较单纯,一般一事一书 介绍信是用来介绍联系接洽事宜的一种应用文体,它只具有介绍的作用 中央电视台的新闻联播属于 下列材料不适合写贺信的是 下面关于悼词写作不正确的一项是 书信的种类很多,按使用目的和范围可分一般书信和( )两大类 下列语句叙述有错误的有 报告要反映工作实践,是可以运用某些修辞手法写得生动些,但有些修辞手法是不能使用的。

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北京理工大学现代远程教育学院

北京理工大学现代远程教育学院 毕业设计(论文) 层次专升本 专业工商管理 姓名顾强 毕业时间 2003年 7月 ________________________________________________________________ 1

北京理工大学 现代远程教育学院 毕业设计任务书 专业名称工商管理 班号(教学站)北京船舶工业管理干部学院 姓名顾强 毕业设计题目 浅析企业合同管理中的风险控制 指导教师陈琪 负责人签字陈琪 2003 年6月8日 ________________________________________________________________ 2

内容和要求:在日常的社会经济活动中,无论是企业还是个人都可能面临风险。所以说风险无处不在,作为企业主要经济活动之一的合同管理也不例外。 但是,在我国社会主义市场经济体制不断完善的过程中,随着社会经济活动的日益活跃,由于信用体制的不健全,企业在生产经营活动中所面临的风险越来越多,甚至风险损失使一些企业到了难以为继的地步。所以,企业唯有从内部管理做起,提高自身的风险防范能力,才能保持健康的发展。 由于风险管理的概念引入我国的时间不长,在生产型企业的合同管理中还远未得到普及和应用。而且,由于在不同的行业或应用领域,风险具有不同的表现形式和特征,无论是金融、投资风险管理的成熟经验,还是目前热门的项目管理均不能在企业的合同管理中照搬套用。为此,本文在对风险与风险管理做了一般概述的基础上,根据生产型企业的特点,结合所学知识和实践经验,重点剖析了企业在合同管理过程中所面临的种种风险及其表现形式,并从实际应用的角度出发,在权衡风险管理成本等因素的前提下,对如何在合同管理活动中加强风险的防范措施进行了系统、全面的探讨。提出了优化业务流程、完善风险管理制度、建立风险管理机制等风险防范和应对措施。希望借此能帮助 ________________________________________________________________ 3

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北京理工大学网络教育期末考试大学英语二 3

大学英语二-2005-0003 (题目数量:29 总分:100.0) 1.单选题(题目数量:20 总分:40.0) 1. She’s been reported missing and we’re checking her movements for the two weeks before she ## . A.vanished B.survived C.observed D.revealed 答案:A 2. —Tom told me that you collect stamps. —##. A.at do you mean? B.I don't think so. C.Why did he tell you? D.Yes, I do. Do you? 答案:D 3. “Is there anything in your personal life which would ## the party if it became public?” A.embarrass B.disturb C.waken D.involve 答案:A

4. They provide information on methods to improve the ## training programs for older workers, including helping older workers to find productive employment. A.formation B.efficiency C.selection D.dignity 答案:B 5. If you don’t read, then ## you will forget how to read. A.mysteriously B.unfortunately C.positively D.eventually 答案:D 6. —Could you tell me where the nearest parking lot is? —## A.Don't ask me. B.Parking is very difficult. C.Sorry, I'm a stranger here. D.Sorry, you can't park here 答案: C 7. Burns can be caused when skin that isn’t used to the sun is ## to short bursts of strong sunlight. A.exposed B.snapped C.wrinkled D.revealed 答案:A

数电期末试卷及复习资料

《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147 ),作为8421BCD 码时, 它相当于十进制数( 93 )。 2.三态门电路的输出有高电平、低电平和(高电阻 )3种状态。 3.TTL 与非门多余的输入端应接( 高电平或悬空 )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( 高)电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7 )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V ,其输出高电平为( 3.6 )V ,输出低电平为(0.35 )V , CMOS 电路的电源电压为( 3-18 ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( 10111111) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有( 16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100 )位。 11. 下图所示电路中, Y 1=( );Y 2 =( ;Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( 5 )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为(D )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( B ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

北邮数电实验分析报告-信息

北邮数电实验报告-信息

————————————————————————————————作者:————————————————————————————————日期:

数字电路与逻辑设计实验 姓名*** 学院信息与通信工程学院 专业信息工程 班级*** 学号**** 班内序号***

实验一 一、实验名称和实验任务要求 1.实验内容:QuartusII原理图输入法设计与实现。 2.实验目的: (1)熟悉用QuartusII原理图输入法进行电路设计和仿真。 (2)掌握QuartusII图形模块单元的生成与调用。 (3)熟悉实验板的使用。 3.实验任务要求: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图像模块。 (2)用实验内容1中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信 号,发光二极管显示输出信号。 (3)用3线—8线译码器(74LS138)和逻辑门实现函数 F=(/)(/)(/)+(/)(/)+(/)(/)+,仿真验证其功能,并下载到实 验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 二、原理图 半加器模块和逻辑门设计实现的全加器: 三、仿真波形图及分析 电路实现了全加器的功能。全加器是实现两个1位二进制数及低位来的进位相加求得和数及向高位进位的逻辑电路。由其原理可得逻辑表达式:sum=ain⊕bin⊕cin

cout = (ain⊕bin)cin + ain*bin。 列出真值表: 输入输出 ain bin cin cout sum 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 仿真波形对比真值表,可以看出波形图与理论值完全符合。 四、故障及问题分析 1、问题:按照逻辑表达式连接了全加器电路后,仿真波形很乱。 解决方法:思考后知道了应该把输入信号依次设成2的n次方,这样的仿真波形清楚容易分析。 2、问题:把代码下载到板子上的过程中,进行到37%的时候停了,等了2分钟 也没继续下载。 解决方法:再次重连USB尝试下载,手紧握着接线口,下载成功了,分析可能是接线口接触不好。 实验二 一、实验名称和实验任务要求 1.实验内容:用VHDL设计与实现组合逻辑电路。 2.实验目的: (1)熟悉用VHDL语言设计组合逻辑电路的方法。 (2)熟悉用QuartusII文本输入法进行电路设计。 3.实验任务要求: (1)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘1’,否则输出‘0’,仿真验证其功能,并下载到实验板测 试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号, 发光二极管显示输出信号。

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工 作在临界状态,可采用办法 B 。 A CC V 增加、bm V 减小、p R 减小 B CC V 减小、bm V 增加、p R 增加 C CC V 减小、bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加

3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。 A 增益变大,选择性变好,带宽变宽 B 增益变大,选择性变差,带宽变宽 C 增益变大,选择性变好,带宽变窄 D 增益变小,选择性变好,带宽变窄 9. 包络检波器出现惰性失真的根本原因是 C 。 A 隔直电容 c C 取得不够大 B 检波二极管的折线化仅仅是一种工程近似,与实际情况不完全符合 C 时间常数RC 过大

北邮数电综合实验电子沙漏的设计与实现

北京邮电大学数电综合实验报告 实验名称:电子沙漏的设计与实现 学院:信息与通信工程学院 姓名: 班级: 学号: 班内序号:

一、实验设计的基本要求 沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。 1、采用 8*8 双色点阵显示电子沙漏的开机界面,如图 2 所示。其中红色 LED 代表沙漏的上半部分沙粒 VD0~VD15,绿色 LED 代表沙漏的下半部分 VD0'~VD15'。 2、用拨码开关 SW1 模拟重力感应器。当 SW1 为低电平时,沙粒从VD0~VD15 向 VD0'~VD15'移动;当 SW1 为高电平时,沙粒从 VD0'~VD15'向 VD0~VD15 移动。 3、按键 BTN0 作为计时启动停止按键,启动后沙粒即可按照 SW1 设定的方向移动, 以 SW1 为低电平时为例,LED 移动的顺序与对应关

系如图 3 的1~16所示(若 SW1 为高电平,则点阵显示移动顺序为 16~1)。每颗沙粒的移动时间为 1 秒,当移动到图 3 的16时,若 SW1 仍为低电平,则保持沙粒不动,但计时继续,直到 SW1 的电平发生变化或者 BTN0 计时停止。

4、设计实现一个 60 秒计时器,当按键 BTN0 启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管 DISP0~DISP1 显示计时结果。 提高要求: 1、可以调节控制电子沙漏的流动速度。? 2、用多种方式呈现电子沙漏界面。? 3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。 4、外接重力感应器,实现真实的电子沙漏功能。? 5、自拟其它功能。 二、系统设计 1、设计思路 实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。 由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。 控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。

北京理工大学网络教育期末考试大学英语二 1

大学英语二-2005-0001 (题目数量:29 总分:100.0) 1.单选题(题目数量:20 总分:40.0) 1. —Sorry, I couldn’t come to the party. I was sick that day. —##. A.I don’t know that B.That’s all right C.Yes, we’ll have another party D.No, the party wasn’t held 答案:B 2. But it is ## that publishers, to stay in business, need to bring out a large number of titles every year. A.spontaneous B.boring C.elementary D.evident 答案:D 3. The fight lasted but few minutes, when the enemy soldiers ## before us for twelve miles. A.vanished B.retreated C.approached D.proceeded 答案:B

4. If people are made aware that the better the service, the more they earn, then it really is an ## to work hard and perform well. A.incentive B.atmosphere https://www.doczj.com/doc/eb541768.html,munity D.gratitude 答案:A 5. Eye play an important role in body balance and it is important to ## as much information from the environment as possible. A.absorb B.involve C.invent D.conquer 答案:A 6. —Let me help you carry the suitcase. —##. A.It's OK. I can manage. B.It's not very light. C.I can help you with it. D.Put it down on the ground. 答案:A 7. Mr. Trump would no t## details of his plan for a new factory to make mobile phones in China. A.expose B.reveal C.indicate D.enclose 答案:B

相关主题
文本预览
相关文档 最新文档