当前位置:文档之家› 组合逻辑电路

组合逻辑电路

组合逻辑电路
组合逻辑电路

第五章 组合逻辑电路

内容提要

【熟悉】组合逻辑电路的特点(功能、结构) 【掌握】组合逻辑电路的一般分析方法和设计方法 【熟悉】常见的五种组合逻辑电路

【掌握】中规模集成组合逻辑电路的应用(扩展与实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象

一.一.网上导学 二.二.本章小结 三.三.典型例题 四.四.习题答案

网上导学

一. 一. 组合逻辑电路的特点:p123

功能:输出仅取决于该时刻的输入而与电路原状态无关(无记忆功能); 结构(无记忆元件,无反馈环路). 二. 二. 组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻辑功能):

组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真值表→判断电路功能。例5.2.1(异或门) P124

分析图5.3.3逻辑电路

1. 1. 迭代法求输出逻辑表达式,如图:

图中,C=B A +,D=AB,用迭代法求出电路输出逻辑表达式

F=B A B A B A B A AB B A AB B A D C +=++=*+=++=+))(()( 2.列出真值表(表5.2.1, P125)

分析真值表可知该电路是一个异或门

例2. 试分析下面电路

1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC

2. 列出相应的真值表

由真值表可以看出,该逻辑电路是一个三人多数表决电路。

三. 三.组合逻辑电路的一般设计方法:

根据设计要求(要实现的逻辑功能)→画出逻辑电路图.

设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。例5.3.1(多数表决器) P125。

举例:设计一个一位加法器(半加器)电路.

1. 1.该电路有两个输入An、Bn和二个输出Sn和Cn,

Sn=Bn An Bn An Bn An ⊕=+,Cn=An*Bn 3. 3. 画出逻辑图

四.组合逻辑电路中的竞争和险象:P126~P129

竞争:因门电路的传输时延而造成多路信号由于经过不同路径产生的时差现象;险象:由竞争产生的错误输出;检查(产生条件:输入存在互补变化;消除:

添加冗余项.

竞争(B=0) *消除方法:参考例5.4.3(P128) 四. 四. 常见的五种组合逻辑电路:p129-p141 着重于其功能和输出与输入的对应逻辑关系.

1. 1. 编码:将输入信号转换成对应的数码信号;

编码器:互斥输入,方块图、逻辑图P130

功能表见表5.5.1(P129)

优先编码,方块图、逻辑图 、功能表P131;

2. 2.译码:将输入的码组翻译变换成对应的输出信号,是编码的逆过程;译码器:二进制译码器, 方块图、逻辑图;

功能表见表5.5.3(P133)

数字显示译码器:

功能表见表5.5.5(P133)

七段显示十进制数字

十进制数字显示p133;十进制数码显示

3.多路选择器:又叫数据选择器,在地址输入端的控制下从多路数据输入中选择

一个送到公共输出端.方块图,逻辑图,功能表P134;

由功能表可以写出其输出表达式:

Y=3

1

2

1

1

1

1

)

(

)

(

)

(

)

(D

A

A

D

A

A

D

A

A

D

A

A+

+

+

4选1多路选择器两种电路

4.数值比较器:比较两个二进制数的大小。P135-137

一位二进制数比较器

二位二进制数值比较器

4. 4.加法器:实现二进制数加法运算

全加器,逐位进位加法器,超前加法器。P137-141

半加器,

全加器逻辑图, (全加器真值表见表5.5.8P138)

逐位进位加法器 (电路简单,连接方便,但运算速度慢) ,超前进位形成电路 (运算速度快,但电路复杂)

五. 五.中规模集成组合逻辑电路及应用:应用着重于扩展(分级扩展和级联扩展)

1.1.中规模集成译码器74139:2线-4线译码器,功能表、逻辑图 P142

74154 :4线-16线译码器,功能表、逻辑图, P142-143

分级扩展:图5.6.3,利用允许端用一片74139和四片74154扩展为6线-64线译

级联扩展(补充):用二片74139实现3线-8线译码器,参考典型例题;

2. 2.中规模集成多路选择器74153:双4选1,功能表P144;

分级扩展:图5.6.5,用五片74153扩展为双16选1, P147;

级联扩展(补充):用74153实现8选1,参考典型例题;

实现组合逻辑函数:例5.6.1,用8选1和4选1实现三变量函数p145-147, 用8选1;用4选1〔注:本书利用对比真值表的方法欠简单明嘹,可用多路选择器的输出表达式和逻辑函数表达式对比的方法, 参考典型例题〕;

解:由表 5.6.4得 F’=∑m(2,3,5,6)=C AB C B A BC A C B A +++,与多路选择器比较:

F=

76543210ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD +++++++

F=3210ABD

D B A BD A D B A +++,先确定地址输入变量,再确定数据输入变量,得

设计四人多数表决电路

3. 3. *中规模集成数值比较器;级联扩展:图

5.6.9,串行、并行比较,p151

(a)串行比较 (b)并行比较

4. 4. *中规模集成加法器;四位二进制加法器扩展为十六位二进制加法器

5. 5.*中规模集成优先编码器。8线-3线优先编码器74148功能表

级联扩展:

本章小结

组合逻辑电路是最常见的逻辑电路,其特点是电路的输出仅与该时刻输入的逻辑值有关,而与电路曾输入过什么逻辑值无关。组合逻辑电路中没有反馈回路, 没有记忆功能。

组合逻辑电路的分析较简单,目的是由逻辑图求出对应的真值表。组合逻辑电路的设计是分析的逆过程,目的是由给定的任务列出真值表,直至画出逻辑图。

竞争和险象是实际工作中经常遇到的重要问题,它们是由器件的延时造成的。组合逻辑电路的险象是过渡性的,不会影响稳定值的正确性。

本章着重讨论了几种常见的组合逻辑电路:编码器、译码器、多路选择器、数值比较器和加法器。介绍了这些电路的功能、工作原理和应用。并给出了一些典型的、中规模集成的

组合逻辑电路。通过上述电路的讨论,进一步学习组合逻辑电路的分析和设计方法。

重点、难点:

重点:组合逻辑电路的特点,一般分析方法及设计方法; 常见组合逻辑电路的功能及输入、输出对应关系;

中规模集成组合逻辑电路的扩展和实现组合逻辑函数(多路选择器); 难点:组合逻辑电路的设计。

典型例题(注:2000.1~2001.7试题)

填空题:

1. 数值比较器是指能判别两个或多个二进制数_____或是否____的电路。(大小,相等) 6. 6. 数据选择器是指能按需要从__________中选择一个送到输出端的电路。 (几个数据输入源)

7. 7. 组合电路没有_____功能,它由_______组成。(记忆,门电路) 8. 8. 在组合逻辑电路中,______反馈电路构成的环路。(没有)

9. 9. 当_____编码器的几个输入端同时出现有效信号时,其输出端给出优先权较高

的输入信号的代码。(优先)

10. 10. 一个全加器电路,若输入端为An,Bn 和Cn -1,则其加高位的进位端Cn 逻辑表达

式为:__________________________________。(Cn=(Bn An Bn An )Cn -1+AnBn,或

Cn=BnC An n -1+C Bn An n -1+C AnBn

n -1+AnBnCn -1) 选择题:

1. 1. 在以下各种电路中,属于组合电路的有_______。(A,D)

A.编码器

B.触发器

C.寄存器

D.数据选择器 2. 2. 组合逻辑电路的设计是指____________。(A)

A. A. 已知逻辑要求,求解逻辑表达式并画逻辑图的过程

B. B. 已知逻辑要求,列真值表的过程

C. C. 已知逻辑图,求解逻辑功能的过程

3. 3. 在大多数情况下,对于译码器而言_________。(A)

A. A. 其输入端数目少于输出端数目

B. B. 其输入端数目多于输出端数目

C. C. 其输入端数目与输出端数目几乎相同

4. 4. 组合逻辑电路中的竞争险象_________________________。(A)

A. A. 一般可通过增加逻辑函数中的冗余项耒消除

B. B. “非临界竞争”会造成错误逻辑输出结果

C. C. 静态险象会影响输出的稳态值 简答题:

1. 1. 简述组合电路的特点。

组合电路的输出仅取决于该时刻电路输入状态的组合,而与电路原来的状态无关。 2. 2. 简述对组合逻辑电路分析的一般步骤(说出“真值表”、“逻辑电路图” 、“电

路用途” 、“逻辑表达式”等这几个概念的先后顺序及联系)。

根据“逻辑电路图”求得“逻辑表达式”,再由“逻辑表达式”列出“真值表”,最后根据“真值表”说出“电路用途”。

分析、设计及计算题:

1. 1. 分析下图电路,写出输出Y 的表达式,说明电路功能。

,,,,013012011010A SA Y A SA Y A A S Y A A S Y ====电路功能为2线一4线译码器。

2. 2. 分析下图电路,写出输出Y 的表达式,说明电路功能。

,,,321B A Y AB B A B A B A Y B A Y =+=+==电路功能为一位数值比较器。

3. 3. 用3线一8线译码器T4138组成的一位全加器实验电路示意图如下图所示,接

通电源后,电路并未正常工作,检查电路的错误,画出正确的连线图(文字说明也可)。

该实验电路示意图中,译码器的输入接逻辑开关,输出Si 和Ci 接发光二极管以及它们的逻辑关系,Vcc 和地接电源均是正确的, 电路的唯一错误是3线一8线译码器T4138的

允许控制端

321,,S S S 没有接电压, 译码器不能正常工作。正确连接是:1S 应接到高电平(“1”),32,S S 应接到低电平地(“0”)。

4. 4. 试用双四选一数据选择器CC14529实现八选一数据选择器功能(地址端信号A 2A 1A 0,数据输入端信号D 7~D 0)。CC14529功能表达式见下式,外部引线排列见下图。

电路连接如下图:

5. 5.对下图所示电路,写出逻辑函数G,E,S的逻辑表达式。

G+

=

A

+

A

AB

=

=,

,。一位数值北较器=

B

=

=

S

B

B

A

B

AB

B

A

A

A

AB

E

B

6. 6.2线一4线译码器74139的功能表及器件管脚排列图如下所示。

(1)(1)用两片74139级联,扩展成一个3线一8线译码器(允许添加必要的门电

路);

(2)(2)当输入信号D2D1D0为(101)2=(5)10时,输出Y7Y6Y5Y4Y3Y2Y1Y0为何值?

电路连接如下图:

当输入信号D2D1D0为(101)2=(5)10时,输出Y7Y6Y5Y4Y3Y2Y1Y0为11011111。

7.7.试用下图所示的两片7485型四位比较器构成一个八位数的串行比较器。器件

(2)为高四位比较,画出有关的连线图。

解:连线图如下图所示

习题、答案

习题

思考题

1.什么是组合逻辑电路?它们在逻辑行为和结构上有什么特点?

2.如何对组合逻辑电路进行分析?

3.组合逻辑电路的设计步骤?

4.如何由任务的文字描述建立真值表?如何根据真值表写出逻辑表达式?

5.竞争和险象形成的原因?它们会有什么危害?

6.什么是互斥输入的编码器?其逻辑表达式是如何利用随意项得到最简的?

7.什么是优先编码器?其逻辑表达式是怎样求得最简的?

8什么是译码器?如何设计和应用?

9.什么是多路选择器?如何设计和应用?

10.如何用多路选择器实现逻辑函数?

11.什么是数值比较器?如何设计和应用?

12.什么是全加器?如何设计?

13.什么是逐位进位加法器?如何设计?

14.什么是超前进位加法器?其设计的依据什么?

填空题

1.组合逻辑电路的输出仅与____有关。组合逻辑电路没有__功能,在

其电路中没有__回路。

2.组合逻辑电路设计过程中最重要的一步是____,它是目前计算机辅助设计

工具无法实现的。

3.造成逻辑电路竞争险象的原因是____;组合逻辑电路中的险象是__________的;单个输入变化时,组合逻辑电路不会产生__类型的险象。

4.8个输入的编码器,按二进制编码,其输出的编码有__位。

5.3个输入的译码器,最多可译码出__路的输出。

6.4选1多路选择器输出的函数表达式是:______________。

7.全加器有__、__和__三个输入信号,以及__和__两个输出信号。

练习题(注:打*题为必做题)

1.求图P5.l 电路中输出F 1,F 2和F 3的逻辑表达式。(本题太繁,原为必做题,现取消)

图P5.1

2.组合电路有四个输入A,B,C,D 和一个输出F 。当下面三个条件中任一个成立时, 输出F 都等于1。

(a) (a) 所有输入等于1; (b) (b) 没有一个输入等于1 (c) (c) 奇数个输入等于1。

请列出其真值表,写出最简的与-或表示式。

* 3.(5-8(3))输入为两个二位的二进制数A 1,A 0和B 1,B 0,输出的二进制数等于输入两个数的乘积。

(a)求输出端的个数;

(b)写出每个输出的最简逻辑表达式。

* 4.利用二片3线一8线译码器和其它门的组合实现4线一16线译码器。 5.利用九片3线一8线译码器实现6线一64线译码器。

* 6.用两片八选一多路选择器和其它门组成十六选一的多路选择器。 7.用九片八选一多路选择器组成六十四选一多路选择器。 * 8.利用四选一多路选择器实现函数 V S S S Z 1

1

++=

9.利用四选一多路选择器和其它门的组合实现函数 W S W V W S S S Z 0

1

+++=

10.试分析图5.6.10 74283型四位二进制加法器。

* 11.多路选择器的功能是在地址码控制下,从几个数源中选择一个,并将其送到一个公 共的输出端。分路器(Demultiplexer )的功能与多路选择器相反,它是将一个信号源,按地址码分送到不同的输出端(见图P5.11和表P5.11),请仿照图5.5.8的思路画出图P .11

对应的逻辑图。

表P5.11

(a) (b)

12.试结合图5.4.5(b )波形图说明图5.4.5(a )电路产生静态1险象的原因。 13.参考图5.6.8并利用图5.5.9和图5.5.10,画出较简单的两位二进制数比较

器的详细逻辑图。

14.求出表5.5.4七段显示泽码器a-g各输出字段的逻辑表达式。

答案

思考题

1.逻辑电路的输出只与当时输入的逻辑值有关,而与输入的历史情况无关,这类逻辑电路叫做组合逻辑电路。组合逻辑电路在结构上没有记忆功能,在其电路中没有反馈构成的环路。

2. 分析组合逻辑电路的步骤如下:

(1)电路中每个门的输出标以不同的符号。

(2)先求每个门输出的逻辑表达式。

(3)迭代各逻辑表达式,并进行化简,直到求出电路输出的逻辑表达式,使其仅是电路输入变量的函数。

(4)填写真值表,分析电路逻辑功能。

3.组合逻辑电路的设计步骤如下:

(1)根据电路要求列出真值表;

(2)由真值表画出卡诺图;

(3)由卡诺图求出简化的逻辑表达式:

(4)根据最简的逻辑表达式画出逻辑图。

4.由任务的文字描述确定输入、输出变量及它们对应的逻辑状态,列出输入变量各种组态情况下对应输出变量的真值表。真值表的每一行对应一个最小项,可写出输出变量等于1的所有最小项之和即标准与或表达式(输入变量为0以反变量表示, 输入变量为1以原变量表示)。

5.当一个门的两个或两个以上的输入发生改变时,由于这些输入信号是经过不同路径产生的,不同路径的传输延时往往又是不同的,使得各输入信号状态改变的时刻有先有后,这种时差引起的现象称为竞争。由竞争产生的错误输出就称为组合逻辑电路的险象。竞争的结果可能导致险象发生并造成错误的后果, 影响系统的正常工作。

6. 互斥输入的编码器,其各个输入是互相排斥的,即在同一时刻只能有一个输人端的电位为有效电位。由于各输入是互斥的,所以允许输入组合的情况就大大减少,其它不应出现的输入组合所对应的输出可视为随意值,以使编码器的电路较简单。

7.优先编码器的各个输入之间不是互相排斥的,但各个输入端的优先权是不同的,当几个输入端同时出现有效信号时,输出端给出其中优先权最高的那个输入信号所对应的代码。根据优先编码器的逻辑功能列出功能表,当输入变量中优先级别较高的为有效值时,则余下优先级别较低的均视为任意值,从而可以方便地由功能表得到最简的逻辑表达式。

8.译码是编码的逆过程。译码器的功能是将给定的输入码组进行翻译,变换成对应的输出信号,对每一种可能的输入组合,一个且仅一个输出信号为有效电位。设计方法和组合逻辑电路的设计方法相同(略),其应用主要是实现逻辑函数(译码器的输出是最小项输出),数据分配器和译码器的扩展。

9.多路选择器又叫数据选择器。多路选择器的功能类似一个多掷开关,它在地址码(或称选择控制)电位的控制下,从几个数据输入源中选择一个,并将其送到一个公共的输出端。在数据传输过程中,有时需要利用多路选择器将几路信号在不同时刻经过一路信道进行传送。

10. 用多路选择器实现逻辑函数方法:因为多路选择器的功能表达式为Y=∑(m i·Di),所以应首先将要实现的逻辑函数变换成标准与或表达式形式,再与多路选择器的功能表达式相比较,首先确定地址输入变量,再确定数据输入变量,最后画出相应的电路连接图。

11实现对两个n位二进制数进行比较并判断其大小关系的逻辑电路称为数值比较器。设计和应用(略)。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

组合逻辑电路教案

第8章组合逻辑电路 【课题】 8.1概述 【教学目的】 了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点。 【教学重点】 1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类。 3.会区分数字逻辑电路的类型。 【教学难点】 区分数字逻辑电路的类型。 【教学方法】 讲授法 【参考教学课时】 1课时 【教学过程】 一、复习提问 1.基本逻辑门电路有哪几种,它们的逻辑功能是什么? 2.画出与非门逻辑符号并说明其逻辑功能。 二、新授内容 1.组合逻辑电路 (1)特点:数字逻辑电路中输出信号没有反馈到输入端,因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关。 (2)电路组成框图:教材图8.1。 2.时序逻辑电路 (1)特点:数字逻辑电路中输出信号部分反馈到输入端,输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关。因此,这种电路有记忆功能。 (2)电路组成框图:教材图8.2。 三、课堂小结 1.组合逻辑电路的特点。

2.时序逻辑电路的特点。 四、课堂思考 P176思考与练习题。 五、课后练习 对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电路的分析)。 【课题】 8.2组合逻辑电路的分析 【教学目的】 掌握组合逻辑电路的分析方法和步骤。 【教学重点】 1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合逻辑电路进行功能分析。 【教学难点】 对给定的组合逻辑电路作功能说明,并用文字描述。 【教学方法】 讲授法、练习法 【参考教学课时】 1课时 【教学过程】 一、复习提问 公式化简,用练习的方式进行。 二、新授内容 1.组合逻辑电路的分析步骤。 (1)根据给定的逻辑电路图,推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明 2.组合逻辑电路的分析举例 (1)老师举例讲解 (2)老师举例,学生讨论分析 例1 已知逻辑电路如图8.1所示,试分析其逻辑功能,要求写出分析过程。

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

18 组合逻辑电路特点及结构

武汉市仪表电子学校电工电子教案 第六章教案 授课班级课程名称 电子技术基础与技 能 教学内容组合逻辑电路的基本知识 课堂类型 学时 学时授课时 间 教学目的 1、组合逻辑电路的特点 2、组合逻辑电路的分析步骤 教学重、难 点 教学重、难点:组合逻辑电路的分析步骤 教学内容及步骤备注 6.1 组合逻辑电路的基本知识 把逻辑门电路按一定的规律加以组合,就可以构成具有各种 功能的逻辑电路,称之为组合逻辑电路。 6.1.1 组合逻辑电路的特点 【组合逻辑电路的特点】在组合逻辑电路中任意时刻的输 出只取决于该时刻的输入,与电路原来的状态无关。电路无记忆 功能。生活中组合逻辑电路的实例有电子密码锁,银行取款机等。 【组合逻辑电路的结构】组合逻辑电路主要由逻辑门电路 构成,并且输出与输入之间没有反馈连接。其组成框图如图6-2 所示。 图6-2 组合逻辑电路的组成框图 6.1.2 组合逻辑电路的分析

【组合逻辑电路的分析步骤】根据已知的组合逻辑电路(逻辑图),运用逻辑电路运算规律,确定其逻辑功能的过程,称为组合逻辑电路的分析。其分析步骤为: (1) 由逻辑图写表达式:根据给定的逻辑电路图,从输入到输出逐级推出输出表达式。 (2)化简表达式。 (3)由化简后的表达式列出真值表。 (4)描述逻辑功:用文字概括出电路的逻辑功能。 上述组合逻辑电路识图分析的过程可表述为: 逻辑图逻辑表达式化简真值表电路的逻辑功能 案例解析 【例6-1】试分析图6-3所示电路的逻辑功能。 图6-3 逻辑电路图 【解析】(1)由逻辑图写输出F的逻辑表达式为: 3 2 1 3 2 1 Y Y Y Y Y BC Y AC Y AB ? ? = = = = (2) 化简 = AB+AC+BC (3)列出真值表,如表6-1所示。 表6-1 真值表 BC AC AB? ? = BC AC AB Y? ? =

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1) 分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。2、写出实验电路的设计过程,并画出设计电路图。 (1) 半加器的设计如果不考虑有来自低位的进位将两个1 位二进制数相加。 A、B是两个加数,S是相加的和,CC是向高位的进位。 逻辑表达式 S=AB+AB=A? B CC=AB (2) 设计一个四位奇偶位判断电路。当四位数中有奇数个1 时输出结果为1;否则为0。 A, B, C, D分别为校验器的四个输入端,丫时校验器的输出端

逻辑表达式 Y=ABC'D'+A'BCD'+A'B'CD 'A'B'C'D+ABCD+A 'D+AB '+ABCD =(A ? B) ? (C ? D) 四?实验结果 1、列出所设计电路的MULTISM 仿真分析结果。 (1)半加器的设计,1-A 被加数,2-B 加数,XMMI (和数S )XMM (进位数CO A B S CO 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 A B c D 输出Y 0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 ;: r P1A… : ; — Vi.c 一隔 .... 74LSM0 (2)设计一个四位奇偶位判断电路 VCC 二 UJU. iEX - 74 L SOOD

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲

12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321 E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++= 17.集成4位数值比较器74LS85级联输入I A <B 、I A=B 、I A >B 分别接001,当输入二个相等的4位数据时,输出F A <B 、F A=B 、F A >B 分别为 。 A .010 B .001 C .100 D .011 18.实现两个四位二进制数相乘的组合电路,应有 个输出函数。 A . 8 B .9 C .10 D .11 19.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要 个异或门。 A .2 B .3 C .4 D .5 20.在图中,能实现函数C B B A F +=的电路为 。 (a ) (b ) (c ) 图 A .电路 (a ) B .电路(b ) C .电路(c ) D .都不是 习 题 1.分析图所示组合逻辑电路的功能,要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。 图 解: CO =AB +BC +AC AC BC AB C B A ABC CO C B A ABC S +++++=+++=)()(

04第四章 组合逻辑电路.

教案

第四章 组合逻辑电路 ▲4.1 概述 1.逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态,而与前 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 4.2组合逻辑电路的分析和设计方法 一、 分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。 分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)。 (3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。 解 (1)AC BC AB Y ??= (2)化简:Y=AB+BC+AC & A B B C A C Y && &组合逻辑电路 … …X 1X 2 X n Y 1Y 2 Y m 输入信号 输出信号 .. . )X X X (f Y ) X X X (f Y )X X X (f Y n 21n n n 2122n 2111???=???=???=、、、、、、

(3)列真值表: (4)由真值表知: 若输入两个或者两个以上的1,输出Y 为1。 功能:在实际应用中可作为多数表决电路使用。 练习:分析如图所示组合逻辑电路的功能。 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数, 并对 它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。 例2、有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI 门电路实现。要求如下: (1)一个班学生上自习, 开小教室的灯。 (2)两个班上自习, 开大教室的灯。 (3)三个班上自习, 两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、B、C分别表示三个班学生是否上自习, 1表示上自习, 0表示不上自习; 输出变量Y、 F 分别表示大教室、小教室的灯是否亮, 1表示亮, 0表示灭。 (2)列真值表: A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 & & & & A B Y 1 Y 2 Y 3 Y

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 1Y =((I 0′I 1′I 2I 3′)′(I 0′I 1′I 2′I 3)′) ′ 0Y =((I 0′I 1I 2′I 3′)′( I 0′I 1′I 2′I 3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 0I =1 1Y 0Y =0 0 1I =1 1Y 0Y =0 1 2I =1 1Y 0Y =1 0 3I =1 1Y 0Y =1 1 2、设计2线-4线译码器并测试其逻辑功能。

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

组合逻辑电路

第五章组合逻辑电路 内容提要 【熟悉】组合逻辑电路的特点(功能、结构) 【掌握】组合逻辑电路的一般分析方法和设计方法 【熟悉】常见的五种组合逻辑电路 【掌握】中规模集成组合逻辑电路的应用(扩展与实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象 一.一.网上导学 二.二.本章小结 三.三.典型例题 四.四.习题答案 网上导学 一. 一.组合逻辑电路的特点:p123 功能:输出仅取决于该时刻的输入而与电路原状态无关(无记忆功能); 结构(无记忆元件,无反馈环路). 二. 二.组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻 辑功能): 组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真 值表→判断电路功能。例5.2.1(异或门) P124 分析图5.3.3逻辑电路

1.1.迭代法求输出逻辑表达式,如图: 图中,C=B A ,D=AB,用迭代法求出电路输出逻辑表达式 F= 2.列出真值表(表5.2.1, P125) 分析真值表可知该电路是一个异或门 例2. 试分析下面电路 1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC 2. 列出相应的真值表

由真值表可以看出,该逻辑电路是一个三人多数表决电路。 三. 三. 组合逻辑电路的一般设计方法: 根据设计要求(要实现的逻辑功能)→画出逻辑电路图. 设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。 例5.3.1(多数表决器) P125。 举例:设计一个一位加法器(半加器)电路. 1. 1. 该电路有两个输入An 、Bn 和二个输出Sn 和Cn, An Bn Sn Cn 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 Sn=Bn An Bn An Bn An ⊕=+,Cn=An*Bn 3. 3. 画出逻辑图 四.组合逻辑电路中的竞争和险象:P126~P129

第4章-组合逻辑电路 (1)复习进程

4组合逻辑电路 4.1 组合逻辑电路的分析 4.1.1 写出如图题4.1.1所示电路对应的真值表。 解:(1)根据图题4.1.1(a)所示的逻辑图,写出其逻辑表达式,并进行化简和变换得 L AB A B BC C AB A B BC C AB AB B C B A C =+++? =++++ =+++ =++ 根据上述逻辑表达式列出真值表如表题解4.1.1(a)所示。 (2)根据图题4.1.1(b)所示的逻辑图,写出逻辑表达式,并进行化简和变换得2 () L ABC ABC A BC BC =+=+ 12 ()()0 L L ABC A BC BC ABC A BC BC ABC =+=++=+?==1 根据上述逻辑表达式列出真值表,如表题解4.1.1(b)所示。

4.1.2组合逻辑电路及输入波形(A、B)如图题4.1.2所示,试写出输出端的逻辑表达式 并画出输出波形。 解:由逻辑电路写出逻辑表达式 =+= L AB AB A B 首先将输入波形分段,然后逐段画出输出波形。当A、B信号相同时,输出为1,不同时,输出为0,得到输出波形,如图题解4.1.2所示。 4.1.4 试分析图题4.1.4所示逻辑电路的功能。 解:组合逻辑电路的分析步骤是,首先由逻辑电路写出逻辑表达式,然后根据逻辑表达式列出真值表,再由真值表判断逻辑功能。由逻辑电路写出逻辑表达式 =⊕⊕⊕ ()() L A C D

列出真值表,如表题解4.1.4所示。 由真值表可知,输入奇数个1(或0),输出L=1,输入偶数 个1(或0),输出L=0.该电路为奇校电路。 4.1.5 逻辑电路如图题4.1.5所示,试分析其逻辑功能。 解:根据组合逻辑电路的分析步骤 (1) 由逻辑电路写出输出与输入的逻辑表达式 1L A B AB =+= 2()()L A B A B A B A B AB AB =+++=+?+=+ 3L A B AB =+= (2) 列出真值表,如表题解4.1.5所示。

最新组合逻辑电路的教案.docx

组合逻辑电路的设计 科目数字电子技术班级中二网络 1课程基本 课题组合逻辑电路的设计授课人信息 授课方式多媒体教学授课时间 2教学目的应知:学会一般组合逻辑电路的设计方法应会:组合逻辑电路的简单设计 3重点与难重点:组合逻辑电路的设计步骤 点难点:根据真值表推出逻辑表达式 1 组合逻辑电路的基本特点有那些? (1)组成 : 与门或门与非门或非门 (2)无记忆功能 , 某时刻的输出直接由该时刻电路 的输入状态所决 . 4教学回顾 2 组合逻辑电路的读图有哪几个步骤? 组合逻辑电路 ---- 逻辑表达式 -----最简式------ 真值表 ---- 分析并确定其逻辑功能 3 评析上节布置的练习题(259 页第二题) 1由于分析与设计是逆过程,所以设计方法由复习分析方法自然 引入。 教学方法 2. 设计方法是本节的难点,而组合逻辑电路的设计是基础,所以 5 说明重点讲解组合逻辑电路的设计方法。 3 .设计方法需要通过一定量的例题说明方法,最后进行归纳总结。

一、复习提问:(播放多媒体课件 ,展示问题) 问题 1:组合逻辑电路的基本特点有那些 ? 问题 2:简述组合逻辑电路的分析的方法?学生思考教师点评:(播放幻灯片 1)并回答问题的实质:逻辑函数表达式的转换。多媒体 二、引入新课: ( 播放背景音乐, 播放幻灯片 2.3) 【方法:从复习组合逻辑电路分析的概念与方法引入,介绍 组合逻辑电路设计的概念、组合逻辑电路设计与组合逻辑电路分 析的关系。】 组合逻辑电路的分析 电路表达式真值表实际功能、作用组合逻辑电路的设 计分析和设计是可逆的过程 【点评:设计是难点,也是重点,是学以致用的关键,而组 合逻辑电路的设计是组合逻辑电路设计的基础。】 三、新课讲授 ( 播放幻灯片 4.5, 由图片文字演示 ) 【方法:借助例题的分析讲解,帮助学生掌握组合逻辑电路 的设计方法,强调实际工作中的设计方法及实际工作中逻辑电路 最简的标准,透过实际工作中逻辑电路最简的概念 , 要求学生掌握电 路设计方案】 1 、设计方法设计过程 (1)分析设计要求,将实际逻辑问题变换成真值表;逐步逐步 (2)由真值表求出逻辑函数表达式;的在黑板 (3)将表达式进行化简或变换,得到最简表达式;上板书 (4)选择器件实现,画逻辑电路图。 注意:实际工作中逻辑电路最简的标准是:所用逻辑门的个 数最少,并且每个门输入端的个数也最少。为了方便,设计中还 应尽量减少门的种类。 2 、例题讲解( 播放幻灯片 6.7) 【目的:通过例 1 学会设计】 【例 1 】设计一个投票表决器 ,3 个投票人分别是 A.B.C, 投票同 意用 1 表示 , 用 0 表示不同意 , 只要两人以上同意才能通过。输 出Y=1 表示通过 ,Y=0 表示不同意。 (1 )根据逻辑要求列出真值表。

组合逻辑电路

第三章组合逻辑电路 基本知识点 *组合逻辑电路的特点 *组合逻辑电路功能的表示方法及相互转换 *组合逻辑电路的分析方法和设计方法 *常用集成组合逻辑电路的逻辑功能、使用方法和应用举例 *组合逻辑电路中的竞争–冒险现象及消除竞争–冒险现象的常用方法 3.1概述 在数字电路中根据逻辑功能的不同特点,可将其分为两大类:一类是组合逻辑电路,另一类是时序逻辑电路。 组合逻辑电路在逻辑功能上的共同特点是:任意时刻的输出状态仅取决于该时刻的输入状态,与电路原来的状态无关。在电路结构上的特点是:它是由各种门电路组成的,而且只有从输入到输出的通路,没有从输出到输入的反馈回路。由于组合逻辑电路的输出状态与电路的原来状态无关,所以组合逻辑电路是一种无记忆功能的电路。由此可知第二章中介绍的各种门电路都属于组合逻辑电路。 描述一个组合逻辑电路逻辑功能的方法很多,通常有:逻辑函数表达式、真值表、逻辑图、卡诺图、波形图五种。它们各有特点,又相互联系,还可以相互转换。 3. 2逻辑功能各种表示方法的特点及其相互转换 一、逻辑功能各种表示方法的特点 1、逻辑函数表达式 逻辑表达式是用与、或、非等基本运算来表示输入变量和输出函数因果关系的逻辑代数式。其特点是形式简单、书写方便,便于进行运算和转换。但表达式形式不唯一。 2、真值表 真值表是根据给定的逻辑问题,把输入变量的各种取值的组合和对应的输出函数值排列成表格。其特点是:直观、明了,可直接看出输入变量与输出函数各种取值之间的一一对应关系。真值表具有唯一性。 3、逻辑图 逻辑图是用若干基本逻辑符号连接成的电路图。其特点是:与实际使用的器件有着对应关系,比较接近于实际的电路,但它只反映电路的逻辑功能而不反映电气参数和性能。同一种逻辑

第4章 组合逻辑电路 课后答案..

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP B AB AAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第六章组合逻辑电路详解

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

第3章组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

第3章组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

相关主题
文本预览
相关文档 最新文档