当前位置:文档之家› 课程设计八路彩灯

课程设计八路彩灯

课程设计八路彩灯
课程设计八路彩灯

1前言

由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式而言,做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。

这种多彩灯控制器电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明灯,在超大屏LED设计中也应用了此类的设计思想,随着近几年LED技术的不断发展LED发光亮度得到了很大提高。本课题内容属于软件电路的设计与应用方面,实现过程包括总体方案的提出比较及选择、电路原理设计、元器件(芯片)参数计算。针对现在彩灯的广泛应用,可见彩灯循环是今后提高节假日气氛的重要因素之一,它的发展深度将更为广泛,使用率将会大幅度提高。本课题设计的八路彩灯循环控制电路,在今后的生活中,在投入生产时,可以是多路彩灯,将集成芯片级联使用,扩展其功能。本设计是由时钟信号发生器电路、序列信号发生器电路和移位输出显示电路组成。负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形,将会更为广泛的应用。

2.总体方案设计

2.1方案比较

方案一:本方案主要由555多谐振荡器,两片74LS194移位寄存器,两片74LS161同步计数器等组成。 55多谐振荡器组成的信号脉冲源作为74LS161计数器、74LS194移位寄存器的CLK 连续脉冲信号。通过改变电阻、电容的大小,可以改变74LS161计数器的计数快慢程度,74LS161计数器充当控制电路的核心器件,控制节拍和分频。使用两片74LS194构成了8位双向移位寄存器,用74LS194移位寄存器直接驱动发光二极管工作。

方案一方框图

方案二:本方案是由555定时器、749090计数器、74138译码器和74ls794移位寄存器组成。7490计数器和74138译码器及74194移位寄存器的时钟信号由555振荡电路提供,改变555的振荡频率,即可改变计数器的计数快慢,即可控制彩灯闪烁快慢,计数器的输出信号输入至74138译码器,由74138译码。根据计数器输出不同的计数结果,即可控制74138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。74138的输出接在74198的八个数据输入端,可以控制彩灯的循环移位的方向,即左移和右移。当计数器清零时,可以实现全灭功能。

方案二方框图

方案三:

本方案是由555组成的多谐振荡器作为信号的脉冲发生器,74161计数器和

74153双向数据选择器组成的序列信号发生器以及移位输出显示电路所共同组成。555多谐振荡器组成的信号脉冲源作为74161计数器、74194移位寄存器的clk连续脉冲信号。通过改变电阻、电容的大小,可以改变74161计数器的计数快慢程度,74161的输出端:Q0Q1作为74153的S0S1,Q2控制74153的两个使能端。74153的两个输出端连接一个二输入的与非门,它的输出通过连接两个SWDIP-4接入74194的数据输入端,74194的两个芯片的S1S0通过SWDIP-2开关接电源以及电阻到地。改变SWDIP-2的通断状态,可以实现预置数,右移、左移的功能。

方案三方框图

2.2方案论证

方案一:该方案简洁明了,555多谐振荡器发出脉冲型号,74LS161同步计数器控制,194寄存器驱动以此实现彩灯控制。

方案二:该系统是利用555多谐振荡器和7490计数器以及138译码器和74194移位寄存器的彩灯显示功能。555发出脉冲信号,移位寄存器由计数器和译码器控制,1s 的时间间隔,让彩灯实现间亮间灭的功能

方案三:该方案是使用555振荡脉冲电路和循环移位控制电路以及彩灯显示电路实现它的左移、右移、全亮、全灭的效果的循环、还有就是在实现各功能之前时的预置数功能,此系统都是通过开关控制的,比较方便。

2.3方案选择

方案一与方案二,三最大的的不同在于控制电路,方案一中的分频和节拍控制是作为一个过程同时实现,而方案二,三分频电路和节拍控制电路是不同过程中实现。相对来说方案一更加简洁,一目了然。所以我选择方案一。

3单元模块电路设计

3.1各单元模块功能介绍及电路设计

3.1.1 时钟信号发生器

主要用来产生脉冲信号。因为流水灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器构成多谐振荡器,组成信号产生电路 接通电源后,VCC 通过电阻R1、R2给电容C 充电,充电时间常数为(R1+R2),电容上的电压vC 按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,触发器被复位,放电管T28导通,此时v0输出低电平,电容C 开始通过R2放电,放电时间常数约为R2C ,vC 下降,当下降到VREF2=VCC/3时,比较器C1输出低电平,C2输出高电平,RS=01,触发器被置位,放电管T28截止,V 0输出高电平,电容C 又开始充电,当VC 上升到时VREF1=2VCC/3,触发器又开始翻转。如此周而复始,输出矩形脉冲。如图3.1信号发生器原理图。

由于输出波形中低电平的持续时间,即电容放电时间为

C R t w 227.0=

低电平的持续时间,即电容放电时间为

C R R t w )(7.0211+=

因此电路输出矩形脉冲的周期为

C R R t t T w w )2(7.02121+=+=

输出矩形脉冲的占空比为

2

12

112R R R R T t q w ++==

当12R R >>时,占空比近似为50%。 电容取:4.7μf 0.01μf 电阻取:2R =150 k Ω =1R 4.7 k Ω

图3.1

按一下运行键后,可看见输出端是出现高低电平交替,时间间隔是由电路中的电容和电阻决定。因为课程设计的任务中要求时间间隔为一秒,因此在仿真时,电阻和电容值还需要改变。从而改变频率,将脉冲信号输出如图3.2多谐振荡器产生实验所需的一秒中脉冲信号。

图3.2

3.1.2控制电路

由两片74LS161计数器级联而成以实现节拍控制和分频作用。第一片74LS161的时钟信号接555多谢振荡器产生的,第二片74LS161的时钟信号接到前一片74LS161的进位端。显示电路启动后首先右移,从逐次点亮至全亮,逐次熄灭共需要16个CP脉冲触发,然后进入左移,灯亮暗情况跟右移情况一样,最后进入闪烁阶段,又经过4个CP 脉冲。此后重复上述过程,一个周期需要36个CP脉冲。由两片74LS161计数器来控制8位移位寄存器的工作的三个工作状态左移S1S0=10右移S1S0=01置数S1S0=1,以及控制寄存器串行数据输入和并行数据输入。如图3.3所示,当开启按钮时开始工作。图3.4为在秒脉冲下S1S0一个周期的的输出波形,S1S0=01持续16秒, S1S0=10 持续16秒S1S0=11持续4秒。

图3.3

CP

S0

S1

图3.4

3.1.3驱动电路

驱动电路选用用两片双向移位寄存器。该电路的八个输出端接上发光二极管,使其发光。寄存器的移位控制端S1S0以及串行并行输入端由161的输出端控制。如图3.5为原理图,表3.1为三个过程的花型,3.6为时序图。

图3.5 表3.1

花型1(1 Hz)花型2(1Hz)花型3(1 Hz)

1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 0 0 1 1 1 1 1

1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1

1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0

0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 0

0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0

0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0

0 0 0 0 0 1 1 1 1 1 1 0 0 0 0 0

0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0

0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

图3.6

图3.6中Q0到Q3为图3.5中U3这个74LS194的输出端,Q4到Q7为图3.5中U4这个74LS194的输出端。

3.1.4电源电路模块的设计

电路中只用到了正5V电源,使用的正5V的电源由直流稳压电源产生,直流稳压电源主要由220V的家用电压、变压器、二极管桥堆和三端集成稳压器LM7805产生的。而LM7805作为其中的核心器件。其中的二极桥堆是一种电子原件,内部由多个二极管组成,主要作用是整流,调整电流方向,桥堆构成的桥式整流电路与四只二极管构成的整流电路相同,它的内电路为四只接成桥式电路的整流二极管。而LM7805作为其中的核心器件,LM7805它有输入端、输出端、和公共引脚端,内部由启动电路、基准电压电路、取样比较放大电路、调整和保护电路组成。LM7805属于LM78**系列,LM7805就表示产生+5V电压,而产生-5V的电压用的是LM7905产生(本设计未用到)。电源电路图见附录1。

3.2.1 555定时器

脉冲信号发生器是由555定时器连接而成,555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,555 定时器的电源电压范围宽,可在 4.5V ~ 16V 工作,7555 可在 ~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容工作电压为+5v555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 。555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC

/3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。1脚:外接电源负端VSS或接地,一般情况下接地。8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V,一般用5V。还有8个管脚,3脚输出端Vo,2脚低触发端,6脚TH 高触发端,4脚是直接清零端。当清零端端接低电平,则时基电路不工作,此时不论、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。5脚VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。7脚放电端,该端与放电管集电极相连,用做定时器时电容的放电。图3.7所示是555的引脚排列情况,表3.2是它的引脚功能。

图3.7

表3.2

输入输出阀值输入发输入复位输出放电管<2Vcc/3 <Vcc/3 1 1 截止>2Vcc/3 >Vcc/3 1 0 导通<2Vcc/3 >Vcc/3 1 不变不变* * 0 0 导通

非门又称反相器,是逻辑电路的重要基本单元,非门有输入和输出两个端,电路符号见附图,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。表3.3是它的引脚功能以及真值表。图3.8所示是非门的引脚排列情况。

表3.3

引脚功能逻辑值

1 输入端1/0

2 输出端0/1

图3.8

3.2.3与非门

与非门是与门和非门的结合,先进行与运算,再进行非运算。与运算输入要求有两个,如果输入都用0和1表示的话,那么与运算的结果就是这两个数的乘积。如1和1(两端都有信号),则输出为0;1和0,则输出为1;0和0,这输出为1。与非门的结果就是对两个输入信号先进行与运算,再对此与运算结果进行非运算的结果。简单说,与非与非,就是先与后非。1,2端为输入端,3为输出端,表3.4是它的功能表,图3.9所示是引脚排列情况。

表3.4

输入端1 输入端2 输出端3

0 0 1

0 1 1

1 0 1

1 1 0

图3.9

3.2.4 74LS161计数器

计数器的种类很多,按其进制不同分为二进制计数器、十进制计数器、N进制计数器;按触发器翻转是否同步分为异步计数器和同步计数器。74LS161是4位二进制同步加法计数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能,还有16个管脚,,时钟CLK和四个数据输入端D0~D3,清零/MR,使能CEP,CET,置数PE,数据输出端Q0~Q3,以及进位输出RCO。74LS161功能表功能表中可以知道,如果当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。图3.10所示是它的引脚排列情况,表3.5是它的功能表。

图3.10

表3.5

输入输出

清零Mr 预

使能

CEP CET

置数据输入

D0 D1 D2 D3

输出

Q0 Q1 Q2 Q3

进位

L * * * * * * * * L L L L L

H L * * D0 D1 D2 D3 D0 D1 D2 D3 *

H H L * * * * * * 保持*

H H * L * * * * * 保持L

H H H H * * * * 计数*

3.2.5 74LS194移位寄存器

4LS194有16个管脚,D0 ~ D3,为并行输入端,Q0 ~ Q3为并行输出端,SR为右移串行输入端,SL为左移串行输入端,S1S0操作模式控制端,MR为清零端,CP为时钟脉冲。74LS194移位寄存器的控制输入端S1和S0是用来进行移位方向控制的,S0为高电平时,移位寄存器处于向左移位的工作状态,二进制数码在CP脉冲的控制下由高到低逐位移入寄存器,因此可以实现串行输入;在S1为低电平时,移位寄存器处于向右移位的工作状态,二进制数码在CP脉冲的控制下逐位移出寄存器。在串行输入、并行输出的转换中,若将四位二进制数码全部送入寄存器内。由于每个CP脉冲移位寄存器只移一位,四位二进制数码需要四个CP脉冲。但若四位二进制数码还含有其它检验码,则总数码有几位就需要几个CP脉冲。图3.11所示是它的的引脚排列情况,表3.6是它的功能表。

图3.11

表3.6

3.2.6 发光二极管

发光二极管它是半导体二极管的一种,可以把电能转化成光能;常简写为LED 。发光二极管与普通二极管一样是由一个PN 结组成,也具有单向导电性。如图3.12

图3.12

* 输 入 输 出

功能 CLK MR S1 S0 SR SL D0 D1 D2 D3 Q0 Q1 Q2 Q3

清除 * 0 * * * * *

*

*

*

送数 1 1 1 * * D0 D1 D2 D3 D0 D1 D2 D3 右移 1 0 1 SR * *

* * * SR Q0 Q1 Q2 左移 1 1 0 SL * * * * Q1 Q2 Q3 SL 保持 1 0 0 * * * * * * Q0 Q1 Q2 Q3 保持

1

*

*

*

*

*

*

*

*

Q0 Q1 Q2 Q3

4系统调试

4.1简介Tina

Tina 是重要的现代化EDA(Electronic Design Automation,即电子电路设计自动化)软件之一,用于模拟及数字电路的仿真分析。其研发者是欧洲DesignSoft Kft.公司,目前大约流行四十多个国家,并有二十余种不同语言的版本,其中包括中文版,大约含有两万多个分立或集成电路元器件。

该软件的具体功能包括:在模拟电路分析方面,Tina除了具有一般电路仿真软件通常所具备的直流分析、瞬态分析、正弦稳态分析、傅立叶分析、温度扫描、参数扫描、最坏情况及蒙特卡罗统计等仿真分析功能之外,还能先对输出电量进行指标设计,然后对电路元件的参数进行优化计算。此外,它具有符号分析功能,即能给出时域过渡过程表达式或频域传递函数表达式;具有RF仿真分析功能;具有绘制零、极点图、相量图、Nyquist图等重要的仿真分析功能。2在数字电路分析方面,Tina 支持VHDL语言;并具有BUS总线及虚拟连线等功能,这避免了电路图中元件之间连线过密,使得电路绘图界面看起来更清晰、简洁。

Tina 具有八种虚拟测量仪器,各仪器与元件之间采用虚拟连线。其虚拟测试仪器(如多踪示波器)的动态演示功能,是极好的电类教学辅助工具。Tina 的仿真分析结果,如波形图可方便地与电路图粘贴在界面中,对输出打印及分析资料的完整保存十分便利。

Tina 可以与其硬件设备Tina-Lab,即实时信号发生器、数据采集器相连接,故能将实时测量与虚拟仿真结果相比对。这是目前所知能实现该项功能的少数实用技术产品之一。

4.2调试方法

在调试仿真之前,我们需要在Tina原件库里找好所需要的元器件的总类及个数,以及对各个原件的参数进行设置。当输入周期为一秒的有效时钟脉冲和电源时,按下开关按钮,即可进行调试仿真。

4.3调试内容

通过一段时间的理论设计,为了对理论运用的正确性,选用Tina进行仿真,验证所设计的八路彩灯控制器电路是否有所设计的功能,及能否实现发光二极管三种花型周期性的变换和开关按钮的作用。

5系统功能指标参数

5.1说明系统能实现的功能

通过前面的分析,运算,测试以及仿真,得知系统有如下功能:

1.8支发光二极管自左至右逐次点亮至全亮,然后自左至右逐次熄灭至全灭。第一过程,需要16个CP脉冲,共16秒。

2.8支发光二极管自右至左逐次点亮至全亮,然后自右至左逐次熄灭至全灭,第二过程,需要16个CP脉冲,共16秒。

3.闪烁,八支灯同亮,同灭,第三过程,需要4个CP脉冲,共4秒。

4.启动电路后,电路按2,3,4的顺序工作,整个电路需要36个CP脉冲,共36秒。

5.断开开关时,保持断开前的状态,再次开启时继续断开前状态的工作。

5.2系统功能及指标参数分析

通过前面的分析,运算,测试以及仿真, 测试结果与设计所要达到的结果符合。系统功能及指标参数与设计所要达到的结果一致。

6结论

我们小组经过近两周的努力,使得本次设计得以顺利完成,我们设计出了八路彩灯控制器,不仅发光二极管三种花型周期性的变换功能,而且可以对它设置了开关按钮,使其更科学完美,也更人性化,这可以说是我们课程设计的亮点。当按下开关按钮时,电路就会正常工作,不关掉按钮,就会一直周期性的工作,断开开关时,保持断开前的状态,再次开启时继续断开前状态的工作。这个设计具有相当的价值,它完全可以应用在我们的生活中。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中,在大型晚会的现场,彩灯更是成为不可缺少的一道景观,LED彩灯也广泛应用于商业街广告灯,也可作为歌厅、酒吧照明灯。所以该设计有很大的现实意义。

7总结与体会

经过期末考试后近两周的构思、设计,我终于完成了此次学校要求的课程设计——八路彩灯控制器。开始觉得这很简单,只要把平时所学应用一下,就可以出一个作品。可是时间过得太快,我们工艺实习还没做完就要求我们报上设计题目,慌忙之下,我们察觉了时间的紧迫性,于是选了一个比较简单的题目—八路彩灯控制器。设计的时间一晃而过,也可以说很漫长;构思、设计、查资料、上网搜索、画图,实在是漫长。但是皇天不负有心人,一连十几天的努力终于使我完成了这次课程设计任务,我现在心里有一股极其强烈的成就感,也有一些感觉不足,时间太仓促,设计也有些草率,可能不会太完美!经过这段珍贵的时间的学习探索,我已初步掌握了数电的应用技术,以及数字电路的知识和有关器件的应用。我深刻地体会到了一个数字系统庞大的知识体系并且使我深刻体会了从书本知识到实际应用的设计过程。

从上报了设计题目开始我们小组便分配了工作,丝毫不敢有所马虎。我们三个人没偷下懒,迅速分工查阅和收集资料,我们去了图书馆借一些参考书,上网找一些相关资料,并请教一些知识比较牢固和有设计经验的同学,通过不断努力,终于把大致的模块给描述在了草稿纸上,然后开始在软件pretues上制作电路图,并用tina进行仿真,制图所需原件确实不怎么好找,幸好老师发了相关元件的代码,这给我们带来了很大的便利,剩下的只有整理和修改程序。

在完成电子技术课程设计后,我们发现自身还有许多不足,所学到得知识还远远不够,以至于还有一些功能不能被完成,但通过学习这一次实践,增强了我们的动手能力,提高和巩固了电子电工方面的知识。从中,我们的团队合作精神也大大增强,大家在一起有什么问题一起讨论,一起解决,比一个人单干好多了,让我以后有足够的勇气去迎接更大的困难与挑战并让我们认识到把理论用于实践是多么重要。

通过这次课程设计,我明白了许多事情,领悟到了很多道理。在科学技术叙述发展的今天,不仅世界是日新月异,而且电子产品也是不断更新。但是千变万变,万变不离其踪,只要我们有坚实的、牢固的知识作后盾,并且不断学习外界的各种先进的科学文化知识,就可以构筑自己知识的大厦,在自己今后的人生道路上迈出稳健的步伐。这次实践使我认识到我现在所学的知识还远远不够,在实际操作应用中有些问题还不能解决,所以我要在今后的学习中更加努力,学好自己的专业知识以充实自己。

在这次课程设计中,我,学会了如何利用网络资源,学会了怎么看电路图,读电路图。这些都使我受益匪浅,并为我以后的学习和工作积累了丰富的经验。这次设计,将会使我大学生涯中一道亮丽的风景线,也将成为我人生道路上的一个闪光点!

8谢辞

在这次课程设计中,首先要感谢带领我们的敬爱的老师,我们是第一次做课程设计,没有任何经验,不知如何下手,每次见面你都会给我们讲的特别仔细,让我们明白接下来一步该做什么,该借阅什么样的参考书,最后是报告的格式,格式非常重要,包括以后的毕业论文。同时张老师认真负责,兢兢业业的精神深深感染了我。还要感谢我们这组的每个成员,你们都非常有知识,教会了我很多不明白的地方,让我懂得了团队合作的重要性。最后我们要感谢尊敬的学校领导及学院领导和老师,感谢你们把课程设计安排在假期中,让我们不用担心什么考试,有充足的时间完成课程设计,可以充分利用图书馆的资源,增长我们的见识,为以后的毕业设计打好了基础。有了这些,我们才能这么圆满地完成这次课程设计的任务,感谢你们。

9参考文献

1.贾更新.电子技术基础实验,设计与仿真[M].郑州大学出版社,2006年10

2.康华光.电子技术数字部分[M].高等教育出版社,2005年7月第五版3.肖景和.555集成电路运用精粹[M].人民邮电出版社,2007年9月4.西华大学电子技术实验指导书

附录1 原理图

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

八路循环彩灯控制电路课设

多功能彩灯控制器设计 目录 一、设计任务及要求----------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、电路元件参数计算-------------------------------------------------------------------- 四、振荡器的使用(555)--------------------------------------------------------------- 五、译码器的选用(74138)------------------------------------------------------------ 六、计数器的选用(73160)------------------------------------------------------------ 七、彩灯的控制----------------------------------------------------------------------------- 八.设计原理电路-------------------------------------------------------------------------- 九.电路仿真波----------------------------------------------------------------------------- 十.元件列表-------------------------------------------------------------------------------- 十一.故障分析与改进------------------------------------------------------------------ 十二.心得体会-----------------------------------------------------------------------------

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

八路循环彩灯的设计方案

目录 摘要 (2) 第一章系统组成及工作原理 (3) 总体设计思路 (3) 基本原理 (3) 电路框图 (3) 第二章循环发光器的系统组成 (4) ) 555定时电路产生时钟脉冲 (5) 移位寄存器 (6) 方案二 74LS138及192的功能 (7) 第三章循环电路的总体设计 (8) 74LS194组成的电路 (9) 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 调试使用的主要仪器 (15) ! 调试技巧的方法 (15) 调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18) 附录一 (18) 附录二 (18) 附录三 (19)

[ 摘要 本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭,及左右移动的功能。 关键词:控制、循环、555定时电路 < )

, 彩灯循环控制电路的设计与制作 第一章系统组成及工作原理 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。 ' 框图 -

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

彩灯控制器数电课程设计报告

五邑大学 数字电路课程设计报告题目:彩灯控制器 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师王玉青

彩灯控制器 一、 设计的要求和意义 1、设计的要求 使用中小型集成电路和门电路设计彩灯控制器要求完成以下功能: ● 使用555定时器产生一个10Hz 的周期信号作为时钟信号 ● 显示方式由L0到L7逐行递增点亮 可选用的器件与元件: 74LS138、NE555、74LS161各1块,发光二极管8支,电阻、电容任选。 2、设计的意义 掌握555多谐振荡器、译码器、十六进制加/减计数器的逻辑功能和工作原理,设计可改变流速的流水灯电路图,分析与设计时序控制电路。利用所学过的知识,通过设计计算﹑元件选取﹑电路板制作调试等环节,初步掌握工程设计的技能。掌握常用仪表的正确使用方法,学会简单电路的实验调试和整机指标测试方法,巩固和加深对数字逻辑电路的理论知识,锻炼动手能力。了解与课程有关的电子电路以及元器件工程技术规范,能按课程设计任务书的技术要求,编写设计说明,能正确反映设计和实验成果,能正确绘制电路图。 二、 硬件电路设计 1、方案设计 本设计采取的方案是:用NE555一个、74LS161一片、74LS138一片、74LS04一片、电阻、电容、发光二极管和门电路。由555多谐振荡器提供时钟脉冲,74LS161二进制加/减计数器一片用来计数和输出信号,通过译码器74LS138直接输出控制彩灯。如图1所示: 2、555多谐振荡器电路设计 555多谐振荡器的电路连接方式已确定的,只需根据所要产生的频率来确定外围的电阻和电容的大小。 电阻R1和R2的确定: 因为要产生10Hz 的时钟信号,先假定电容C 的值为0.1uF ,再根据公式: ) 1(2 ln )221(1 ??????+= C R R f 555多谐振荡器 计数器 译码器 彩灯 非门

八路彩灯课程设计

课程设计任务书 专业姓名学号 开题日期:2014年 3 月12 日完成日期:2012 年12 月26 日 题目八路彩灯 一、设计的目的 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 二、设计的内容及要求 设计任务 设计一个八路彩灯逻辑控制电路。 设计要求及器件 (1)共有八个彩灯,分别实现三个过程,构成一个循环共25秒。 (2)第一个过程要求八个灯从左到右依次点亮,各一秒,共八秒。 (3)第二个过程要求八个灯从右到左依次熄灭,各一秒,共八秒。 (4)最后八个灯同时闪烁八次,共8秒。 三、指导教师评语 四、成绩 指导教师(签章) 年月日

目录 第1章概述 --------------------------------------------------------------------------------------------------------------------------- 1 1.1.设计任务及要求 ---------------------------------------------------------------------------------------------------------- 2 1.1.1设计任务 ----------------------------------------------------------------------------------------------------------- 2 1.1.2设计要求及器件-------------------------------------------------------------------------------------------------- 2 1.1.3设计任务及目标:----------------------------------------------------------------------------------------------- 2 第2章.总体设计思路模块--------------------------------------------------------------------------------------------------------- 2 2.1系统逻辑框图 -------------------------------------------------------------------------------------------------------------- 2 2.2设计的方法 ----------------------------------------------------------------------------------------------------------------- 3 第3章.各单元电路图及功能说明模块---------------------------------------------------------------------------------------- 4 3.1器件选择 -------------------------------------------------------------------------------------------------------------------- 4 3.1.1:计数器S163芯片的介绍 ------------------------------------------------------------------------------------ 4 3.1.2:计数器LS190芯片的介绍----------------------------------------------------------------------------------- 5 3.1.3双向寄存器74LS194 -------------------------------------------------------------------------------------------- 6 3.2各功能电路实现原理及电路分析模块------------------------------------------------------------------------------- 7 3.2.1 彩灯演示电路 ---------------------------------------------------------------------------------------------------- 7 3.2.2彩灯控制电路----------------------------------------------------------------------------------------------------- 7 第4章.电路总体图设计图--------------------------------------------------------------------------------------------------------- 8 第5章.心得体会: ------------------------------------------------------------------------------------------------------------------ 9 第6章.参考文献: ------------------------------------------------------------------------------------------------------------------ 9

相关主题
文本预览
相关文档 最新文档