当前位置:文档之家› 计算机控制技术 课程设计 交通灯控制

计算机控制技术 课程设计 交通灯控制

计算机控制技术   课程设计  交通灯控制
计算机控制技术   课程设计  交通灯控制

“计算机控制技术”课程设计作业

西安市文景路与凤城七路交叉路口

交通灯控制系统设计

2011年12月19日

西安市文景路与凤城七路交叉路口

交通灯控制系统设计

1、概述

1.1、路口介绍:

文景路位于西安市经济技术开发区,是一条南北方向的双向八车道城市主干道。其最近一次整改是在2009

年。凤城七路是经

济技术开发区的一

条东西方向双向四

车道的主要道路。

本次课程设计的路

口是位于西安市经

济技术开发区盐张

村东的文景路与凤城七路十字交叉路口。下面对该路口的状况进行简单的介绍。

文景路作为连接火车北客站与市中心的主要道路,随着火车北客站的投入运营,车流量也在逐渐增大。文景路两侧聚集了许多住宅小区。作为一条进入市中心的主要道路,其北连包茂高速、西安市绕城高速,南接西安市北二环,其交通流中车型较混杂。随着西安市行政

中心的北迁,也给文景路的交

通状况提出了更加严峻的要

求。

文景路全段为双向八车

道,最外侧机动车道为公交专

用车道,另两侧各有约2.5m宽

的非机动车道。机动车道与非

机动车道用高0.7m的栅栏隔

开。中央分隔带宽度为9m,无中央分隔带护栏。文景路全段为沥青材质路面。文景路全线限速60km/h,全线禁止长时间停车或临时停车,全线禁止鸣笛。部分路段禁止大货车通行。

未央路的主要道路之一。新建西

安咸阳国际机场高速的开通对

凤城七路的交通量有部分影响。

其道路两侧有3个城中村,随着

西安市对城中村的改造工作的

开展,现在道路两侧居住人口较

少,多为建筑工地。凤城七路与

文景路也是货车进入包茂高速

的主要通道之一。

凤城七路全段为双向四车道,未设置公交专用车道,另两侧各有约4.5m宽的非机动车

道。机动车道与非机动车道用宽1.5m的植物分隔带隔开。正常路段未设置中央风格带,用

双黄线来分隔对象来车。凤城七路全段为沥青材质路面。全线限速50km/h,全线禁止长时

间停车或零时停车,全线禁止鸣笛。

文景路、凤城七路在交叉路口入口处均增加一个车道。凤城七路在距离路口105m处增

设了中央风分隔带。中央分隔带的宽2m。道路在交叉路口的渐变加宽点至路口距离为北侧

121m,南侧119m,东侧211m,西侧181m。此路口向北距离下一路口401m,向南距离下

一路口为436m,向东距离下一路口为880m,向西距离下一路口为742m。各个进入交叉口

的方向均设有指路标志。距离路口东向30m处路南有天然气加气站,加气站以东是600路

公交车调度站。路口周围没有过街天桥或者地下通道。文景路、凤城七路在路口均允许机动

向共设有两个电子摄像头。路口处交通标志与交通

标线基本完整。是一个标准的十字型道路交叉口。

1.2、交通灯设置:

路口共设置有4个车辆交通灯指示信号灯。设

置8个行人通行指示信号灯。

其中8个行人通行指示信号灯的形式相同。指

示灯形式如右图所示。

文景路的交通灯共包括12个方向指示灯和一个

两位的倒计时指示牌。其中直行、左转分别设置信号灯控制。倒计时指示牌指示直行车辆的红绿灯时刻。信号灯形式如下左图所示。

凤城七路的交通灯共包括9个方向指示灯和一个两位的倒计时指示牌。其中直行、左转灯时相同设置信号控制。倒计时指示牌指示车辆的红绿灯时刻。信号灯形式如上图右图所示。

各信号灯的灯时设置如下表所示:

各交通灯的灯时相位图如下图所示(单位:s):逐次循环。

注:由灯时相位图可以看出车辆控制信号与行人控制信号由于车辆控制黄灯的存在,导致与对象行人控制信号相差3s中的时间间隔。在后续的软件设计中将不考虑行人控制信号的处理。而是将文景路直行信号与凤城七路行人控制信号在输出时共享,为了解除3s黄灯的影响,在文景路直行信号控制凤城七路行人控制信号时,在绿灯和黄灯的控制信号控制凤城七路行人控制信号之前加上一个逻辑“或”门,输出端来控制凤城七路行人控制信号,红灯控制则相同。

凤城七路车辆控制信号与文景路行人控制信号的处理办法相同。

1.3、设计目的

通过计算机控制课程的学习,对实现上述路口红绿灯控制信号的电路、硬件系统、软件系统进行设计。从而实现信号的定时控制功能。并且加入了对于行人控制信号的控制方式及原理。

2、控制系统原理

2.1、控制系统介绍:组成、原理及描述

本路口在交通信号控制采取的是静态的交通信号控制。交通信号灯时相位不随交通状况的改变而改变。再设计中主要考虑的是启动信号控制系统时遇到的问题。

控制系统采取计算机控制,为开环控制系统,系统不需要有来自控制现场的输入信号。输入信号只有系统总控开关,其他功能由计算机通过软件进行设置,从而达到控制信号灯灯时长短的目的。协调各个信号灯的有序开启与关闭。

结合本路口的实际情况和交通信号灯的控制策略。控制系统主要由以下几个部分组成。

控制开关。它是系统的总控开关。接在总控制台,是交通管理者对于交通信号开启与关闭的总控开关。

小功率开关量输入信号调理电路。开关开闭时会由于接点的机械抖动而产在电路中产生振荡信号,在总控开关与计算机之间添加调理电路,使系统输入信号没有太大的信号抖动。

ACL-8112HG数字量输入。它的主要功能是将开关量的开闭转换为数据地址,输入控制计算机。

控制计算机。它是系统的核心,负责数据的接受和程序的执行,产生控制输出数据,传给系统的下一部分。

ACL-8112HG数字量输出。它的主要功能是将系统的控制输出数据进行整理,为控制驱动继电器电路的正常工作提供数据支持。

驱动继电器电路。它的功能是将计算机的输出数据转换为对应的继电器开闭信号。从而打开或关闭对应的继电器。

大功率信号输出电路。交通信号灯由于其所处的环境,使用交流电源更加方便经济。在这里需要将继电器的微弱控制信号,转换为信号灯需要的大功率信号控制量。

该交通信号灯的控制系统的控制原理如下:当系统检测到交通控制开关打开时,并检测各项控制数据是否满足,如果均满足,则自动开始第一次启动,根据系统的特点,以文景路直行为绿灯开始时为计时起点。如此循环进行控制,直至系统控制开关再次闭合或者其他控

制条件不满足时停止循环。关闭系统。

2.2、控制系统框图

2.3、控制流程

控制流程的执行顺序与控制框图的顺序相同,如上图所示。定时交通灯控制系统较简单,没有过多的输入数据。

3、硬件电路设计

3.1、交通灯控制继电器电路设计

电路设计图如下图所示:

3.2、开关量输入输出信号转换电路设计

本控制系统的输入量只有总控开关一个。转换电路如下。

3.3、使用ACL-8112HG卡控制通道说明

(1)采集卡基地址设置

采集卡基地址设置为0x230.需要将右图中的A4、

A5拨到下位,将A6、A7、A8拨到上位。

(2)数字量输入接口。

交通信号灯控制系统的输入只有一位总控开关输入,将其连接到开关量输入口低8位的第二位,即DI 1口。

(3)继电器的连接位置

由于本路口有左转控制信号和行人控制信号,因此共涉及13个继电器。通过实地观察发现,行人控制信号与对向道路控制信号相似,中间相差一个黄灯的灯时,为了节省资源,对车辆控制信号在后期予以处理。同时控制车辆与行人信号。采取的办法是将直行绿灯信号与直行黄灯信号通过逻辑或门来共同控制对向行人绿灯灯时,红灯信号直接共享连接。

综上,本系统共需要继电器9个,他们的端口位置及控制的路口设置如下表所示:

注:由于试验中需要9个继电器,导致单个ULN2803对应输入和输出端口不能满足要求,因此多连接一个ULN2803,为了区分两个ULN2803,分别编号U11,U12。

4、控制程序设计

4.1、控制程序流程说明

在实际的控制过程中,对于信号灯的控制主要通过对变量的直接赋值来实现对继电器的控制,从而控制信号灯。根据信号灯的相位图,得出了如下控制参数。

在程序的循环过程中将不断给变量赋值,从而控制对应的继电器开闭。

4.2、程序框图

本系统控制程序由三部分组成。main函数、SwitchDI函数、SwitchDO函数。各部分的程序框图如下图所示:

4.3、源程序:

/*------------------------------------------------------------

此程序为控制文景路与凤城七路交叉口交通信号灯,采用九个继电器来控制该路口个信号灯。

单循环时间为130s. 姓名:XXX, 学号:. 2011年12月28日

-------------------------------------------------------------*/

#include

#include

#include

#define ACL8112 0x230 /* ACL8112卡基地址*/

#define DOL ACL8112+13 /* DO低八位地址*/

#define DOH ACL8112+14 /* DO高八位地址*/

#define DIL ACL8112+6 /* DI 低八位地址*/

#define DIH ACL8112+7 /* DI 高八位地址*/

unsigned int SwitchDI() /* 从ACL8112的十六位DI读取键值*/

{

unsigned y=0;

y=inportb(DIH); /* 读高八位*/

y=y<<8; /* 存入键值变量的高位*/

y=y+inportb(DIL); /* 读低八位,并入键值变量*/

return y;

}

void SwitchDO()

/* 从ACL8112卡的16位DO输出,九只继电器依次接入第8位的第,1,2,4,6,8位,高八位的第,2,4,6,8位*/ {

unsigned int i=0, T1=60, T2=3, T3=16, T4=45,Key=0xffff;

unsigned int xL1=0x03, xL2=0x09, xL3=0xa1, xL4=0x21, xL5=0x20, xL6=0x20;

unsigned int xH1=0x08, xH2=0x08, xH3=0x00, xH4=0x02, xH5=0x28, xH6=0x88;

while (Key!=0xfffd) /* 按照控制信号逐次打开继电器*/

{

outportb(DOL,xL1); /* 开关第,1,6,9号继电器。并维持六十秒钟*/

outportb(DOH,xH1);

printf("打开文景路直行绿灯,文景路左转红灯,凤城七路红灯,并持续60秒。\n");

sleep(T1);

outportb(DOL,xL2); /* 开关第,2,6,9号继电器。并维持三秒钟*/

outportb(DOH,xH2);

printf("打开文景路直行黄灯,文景路左转红灯,凤城七路红灯,并持续3秒。\n");

sleep(T2);

outportb(DOL,xL3); /* 开关第,3,4,9号继电器。并维持十六秒钟*/

outportb(DOH,xH3);

printf("打开文景路直行红灯,文景路左转绿灯,凤城七路红灯,并持续16秒。\n");

sleep(T3);

outportb(DOL,xL4); /* 开关第,3,5,9号继电器。并维持三秒钟*/

outportb(DOH,xH4);

printf("打开文景路直行红灯,文景路左转黄灯,凤城七路红灯,并持续3秒。\n");

sleep(T2);

outportb(DOL,xL5); /* 开关第,3,6,7号继电器。并维持四十五秒钟*/

outportb(DOH,xH5);

printf("打开文景路直行红灯,文景路左转红灯,凤城七路绿灯,并持续45秒。\n");

sleep(T4);

outportb(DOL,xL6); /* 开关第,3,6,8号继电器。并维持三秒钟*/

outportb(DOH,xH6);

printf("打开文景路直行红灯,文景路左转红灯,凤城七路黄灯,并持续3秒。如想推出循环程序,请此时长按总控开关,直至跳出程序。\n");

sleep(T2);

Key=SwitchDI();

}

}

main()

{

unsigned int Key=0xffff,x=0xff, i; /* Key键值变量,i公用变量*/

clrscr();

while (Key!=0xfffd)

{

gotoxy(10,5);

printf("等待打开控制开关!\n");

Key=SwitchDI();

}

Key=0xffff

gotoxy(10,7); /* 开始信号灯并逐次开启循环*/

outportb(DOL,x); /* 打开全部继电器。并维持三秒钟*/

outportb(DOH,x);

printf("打开全部继电器,并持续3秒,测试继电器的是否工作正常!\n");

sleep(3)

printf("开始进行信号灯控制!\n");

SwitchDO();

gotoxy(10,9);

printf("文景路与凤城七路交叉口信号灯控制结束。\n\n");

}

5、测试结论

5.1、测试完成情况

通过第一次的测试,对于程序的预定完成情况比较理想,在基本的运行方面没有发现问题。但是结合交通信号灯的具体情况来看,程序中还有一些可以改进的地方。主要对程序的人性化做了调整。主要表现在开始时对全部继电器进行测试,查看工作状态。在循环测试阶段,将开始设置的600次for循环改为了while循环,循环条件为总控按键是否按下,如果再次按下,将跳出循环,结束交通灯的控制。

在第二次的测试中,程序能够按照预先设定的要求,准确控制各个继电器的开启与关闭,达到了预先设计的目的。

5.2、改进建议

通过这次课程设计,发现目前,西安市大部分的信号灯还处于全天候定时控制。不能按照实际车流量的大小来动态控制灯时,从而控制交通流。

建议在今后的控制系统扩展中逐步加入更多的变量,例如实时监控数据,感应数据等信号输入,动态各方向灯时的变化,以满足需求。

【附件】:交通控制系统电路图

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

计算机控制课设.

计算机控制技术课程设计 评语: 考勤(10)守纪(10)过程(30)设计报告(30)答辩(20)总成绩(100) 专业:自动化 班级:动201302 姓名:完新龙 学号:201309314 指导教师:侯涛 兰州交通大学自动化与电气工程学院 2016年07月15日

基于温度传感器的水温控制系统 1.设计要求 1升水加热,要求水温可以在20~100摄氏度范围内进行人工控制,并能在环境温度变化时实现自动调整,以保证在设计的温度。要求最小分辨率率为1摄氏度,温度控制的稳态误差小于0.2摄氏度,能够显示当前的温度。 2.设计方案 设计采用220V交流供电的150W加热器,利用DS18B20进行周期性检测,并将数据传递给单片机。上位机通过单片机传递的实时温度与给定温度进行比较得到误差,通过PID算法得到控制量,送给单片机通过单片机I/O口输出高电平占空比进行控制,实现对加热器控制。 2.1设计原理图 设计原理图如图1所示。 图1 设计原理图 2.2硬件选型 (1)控制器分为上位机和下位机。上位机为控制计算机,通过检测的温度与设定的温度进行比较,由设定的算法计算出控制量u;下位机为AT89C51即单片机,接收由上位机所给出的控制量,对执行机构进行控制。AT89C51具有如下特点:4kB Flash片内内存储器,128 byte RAM,32个外部双向输入输出口,5个中断优先级,2个16位可编程计数器,2个全双工串行通信口。 (2)D/A转换器采用DAC0832,8位D/A转换器,与微处理器完全兼容。DAC0832由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路构成。主要参数:分辨率为0.0039;电流稳定时间1微秒;可单缓冲、双缓冲或直接数字输入;可单一电源供电(5V-15V);低功耗,20mW。 (3)执行机构采用交流加热器。根据相关资料对于加热一杯水,加热器可以迅速反应,提高动态响应速度。 (4)传感器采用DS18B20数字温度传感器。DS18B20具有体积小,硬件开销低,

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

计算机控制课程设计

计算机控制技术课程设计报告 学院自动化科学与工程 学生姓名 学生学号 指导教师 __ 提交日期 2013 年 7 月 8 日

目录 一、设计题目及要求 ................................................................... 错误!未定义书签。 二、整体设计与结构图 (3) 1、计算机控制系统结构图 (3) 2、硬件结构图 (4) 三、电路硬件设计 (5) 1、电桥电路 (5) 2、放大环节 (6) 3、滤波电路 (6) 4、A/D转换器 (7) 5、D/A 转换电路 (8) 四、参数计算及分析 (9) 1.参数确定 (9) 2.系统性能分析 (9) 五、控制方案及仿真 (9) θ的分析.....................................................................................................,9 1、0 = 1)控制方案分析 (11) 2)数字控制器D(z)的实现 (11) 3)系统仿真 (14) θ的分析 (18) 2、870 .0 = 1)控制方案分析与选择 (18) 2)数字控制器D(z)的实现 (19) 3)系统仿真 (23) 六、心得与体会 (27)

一.课程设计题目及要求 1、 针对一个具有纯滞后的一阶惯性环节 ()1 s Ke G s Ts τ-=+ 的温度控制系统和给定的系统性能指标: ? 工程要求相角裕度为30°~60°,幅值裕度>6dB ? 要求测量范围-50℃~200℃,测量精度0.5%,分辨率0.2℃ 2、 书面设计一个计算机控制系统的硬件布线连接图,并转化为系统结构图; 3、 选择一种控制算法并借助软件工程知识编写程序流程图; 4、 用MA TLAB 和SIMULINK 进行仿真分析和验证; 对象确定:K=10*log(C*C-sqrt(C)),rand(‘state ’,C),T=rang(1), 考虑θ=0或T/2两种情况。 C 为学号的后3位数,如C=325,K=115.7,T=0.9824,θ=0或0.4912 5、 进行可靠性和抗干扰性的分析。 二、整体设计与结构图 1、计算机控制系统结构图

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

计算机控制技术课程设计报告

《计算机控制技术》课程设计单闭环直流电机调速系统

1 设计目的 计算机控制技术课程是集微机原理、计算机技术、控制理论、电子电路、自动控制系统、工业控制过程等课程基础知识一体的应用性课程,具有很强的实践性,通过这次课程设计进一步加深对计算机控制技术课程的理解,掌握计算机控制系统硬件和软件的设计思路,以及对相关课程理论知识的理解和融会贯通,提高运用已有的专业理论知识分析实际应用问题的能力和解决实际问题的技能,培养独立自主、综合分析与创新性应用的能力。 2 设计任务 2.1 设计题目 单闭环直流电机调速系统 实现一个单闭环直流电机调压调速控制,用键盘实现对直流电机的起/停、正/反转控制,速度调节要求既可用键盘数字量设定也可用电位器连续调节,需要有速度显示电路。扩展要求能够利用串口通信方式在PC上设置和显示速度曲线并且进行数据保存和查看。 2.2 设计要求 2.2.1 基本设计要求 (1)根据系统控制要求设计控制整体方案;包括微处理芯片选用,系统构成框图,确定参数测围等; (2)选用参数检测元件及变送器;系统硬件电路设计,包括输入接口电路、逻辑电路、操作键盘、输出电路、显示电路; (3)建立数学模型,确定控制算法; (4)设计功率驱动电路; (5)制作电路板,搭建系统,调试。 2.2.2 扩展设计要求 (1)在已能正常运行的微计算机控制系统的基础上,通过串口与PC连接; (2)编写人机界面控制和显示程序;编写微机通信程序;实现人机实时交互。

3方案比较 方案一:采用继电器对电动机的开或关进行控制。这个方案的优点是电路较为简单,缺点是继电器的响应时间慢、机械结构易损坏、寿命较短、可靠性不高。 方案二:采用电阻网络或数字电位器调整电动机的分压,从而达到调速的目的。但是电阻网络只能实现有级调速,而数字电阻的元器件价格比较昂贵。更主要的问题在于一般电动机的电阻很小,但电流很大;分压不仅会降低效率,而且实现很困难。 方案三:采用由电力电子器件组成的H 型PWM 电路。用单片机控制电力电子器件使之工作在占空比可调的开关状态,精确调整电动机转速。这种电路由于工作在电力电子器件的饱和截止模式下,效率非常高;H 型电路保证了可以简单地实现转速和方向的控制;电子开关的速度很快,稳定性也极佳,是一种广泛采用的PWM 调速技术。 兼于方案三调速特性优良、调整平滑、调整围广、过载能力大,因此本设计采用方案三。 4单闭环直流电机调速系统设计 4.1单闭环调速原理 4.1.1 闭环系统框图 4.1.2 调速原理 直流电机转速有: 常数Ke Ka 不变,Ra 比较小。 所以调节Ua 就能调节n 。 n n I K R K U K R I U n d d a e e d ?-=Φ -Φ=-=0φa a a U I U ≈-

计算机控制技术课程设计任务书

计算机控制技术课程设计任务书 题目1:通用数字PID调节器设计 1、主要技术数据和设计要求 主要技术数据:8路模拟量输入:适配1~5V输入,量程自由设定;8路输出控制信号:1~5V标准电压输出;输入模拟量转换精度:0.1%;RS232串行通讯通口。 控制模型:数字PID控制算法;PID参数范围:比例带Kp:1-999.9%,积分时间Ti:1-9999秒(Ti=9999时积分切除),微分时间Td::0-9999秒(Td=0时微分切除)。 调节控制器使用51内核的单片机,完成对8路模拟信号的切换、信号变换、A/D转换;单片机对数据处理后(含数字滤波、数值变换),送到显示和通讯部分,并经PID运算处理后通过D/A转换器输出。经信号变换和信号分配后输出8路控制信号。设计中应充分考虑干扰问题。 2、设计步骤 一、总体方案设计、控制系统的建模和数字控制器设计 二、硬件的设计和实现 1. 选择计算机机型(采用51内核的单片机); 2. 设计支持计算机工作的外围电路(EPROM、RAM、I/O端口等); 3. 设计键盘、显示接口电路; 4. 设计8路模拟量输入输出通道; 5. 设计RS232串行通讯通口; *6. 其它相关电路的设计或方案(电源、通信等)。 三、软件设计 1. 分配系统资源,编写系统初始化和主程序模块; 2. 编写数字PID调节器软件模块; 3. 编写数字滤波程序; *4. 编写A/D、D/A转换器处理程序模块; *5. 其它程序模块(显示与键盘等处理程序)。 四、编写课程设计报告,绘制完整的系统电路图。

计算机控制技术课程设计任务书 题目2:双闭环直流电动机数字调速系统设计 1、主要技术数据和设计要求 主要技术数据:直流电动机(对象)的主要技术参数如下:直流电动机Ped=3kW,Ued=220v ,ned=1500r/min,电枢回路总电阻R=2.50欧姆,电动机回路电磁时间常数TL=0.017s,机电时间常数TM=0.076s,电势常数Ce=0.1352V/r·min),晶闸管装置放大倍数Ks=30,整流电路滞后时间Ts=0.0017s。 主要技术指标:速度调节范围0-1500r/min,速度控制精度0.1%(额定转速时),电流过载倍数为1.5倍。 主要要求:直流电动机的控制电源采用PWM控制方式,在其输入电压为0-5伏时可以输出0-264伏电压,为电机提供最大25安培输出电流。速度检测采用光电编码器,且假定其输出的A、B两相脉冲经光电隔离辨向后获得每转1024个脉冲的角度分辨率和方向信号。电流传感器采用霍尔电流传感器,其原副边电流比为1000:1,额定电流为50安培。采用双闭环(速度和电流环)控制方式。 2、设计步骤 一、总体方案设计、控制系统的建模和数字控制器设计 二、硬件的设计和实现 1. 选择计算机机型(采用51内核的单片机); 2. 设计支持计算机工作的外围电路(EPROM、RAM、I/O端口等); 3. 设计键盘、显示接口电路; 4. 设计输入输出通道(速度反馈、电流反馈电路、输出驱动电路等); *5.它相关电路的设计或方案(电源、通信等)。 三、软件设计 分配系统资源,编写系统初始化和主程序模块; 2. 编写数字调节器软件模块; 3. 编写A/D转换器处理程序模块; *4.编写输出控制程序模块; *5.其它程序模块(数字滤波、显示与键盘等处理程序)。 四、编写课程设计说明书,绘制完整的系统电路图。

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

计算机控制系统课程设计

《计算机控制》课程设计报告 题目: 超前滞后矫正控制器设计 姓名: 学号: 10级自动化 2013年12月2日

《计算机控制》课程设计任务书 指导教师签字:系(教研室)主任签字: 2013年11 月25 日

1.控制系统分析和设计 1.1实验要求 设单位反馈系统的开环传递函数为) 101.0)(11.0(100 )(++= s s s s G ,采用模拟设 计法设计数字控制器,使校正后的系统满足:速度误差系数不小于100,相角裕度不小于40度,截止角频率不小于20。 1.2系统分析 (1)使系统满足速度误差系数的要求: ()() s 0 s 0100 lim ()lim 100 0.1s 10.011V K s G s s →→=?==++ (2)用MATLAB 画出100 ()(0.11)(0.011) G s s s s = ++的Bode 图为: -150-100-50050 100M a g n i t u d e (d B )10 -1 10 10 1 10 2 10 3 10 4 P h a s e (d e g ) Bode Diagram Gm = 0.828 dB (at 31.6 rad/s) , P m = 1.58 deg (at 30.1 rad/s) Frequency (rad/s) 由图可以得到未校正系统的性能参数为: 相角裕度0 1.58γ=?, 幅值裕度00.828g K dB dB =, 剪切频率为:030.1/c rad s ω=, 截止频率为031.6/g rad s ω=

(3)未校正系统的阶跃响应曲线 024******** 0.20.40.60.811.2 1.41.61.8 2Step Response Time (seconds) A m p l i t u d e 可以看出系统产生衰减震荡。 (4)性能分析及方法选择 系统的幅值裕度和相角裕度都很小,很容易不稳定。在剪切频率处对数幅值特性以-40dB/dec 穿过0dB 线。如果只加入一个超前校正网络来校正其相角,超前量不足以满足相位裕度的要求,可以先缴入滞后,使中频段衰减,再用超前校正发挥作用,则有可能满足要求。故使用超前滞后校正。 1.3模拟控制器设计 (1)确定剪切频率c ω c ω过大会增加超前校正的负担,过小会使带宽过窄,影响响应的快速性。 首先求出幅值裕度为零时对应的频率,约为30/g ra d s ω=,令 30/c g rad s ωω==。 (2)确定滞后校正的参数 2211 3/10 c ra d s T ωω= ==, 20.33T s =,并且取得10β=

计算机控制技术课程设计

计算机控制技术课程设计 业:自动化 班级:动201xxx 姓名:xxx 学号:2013xxxxxx 指导教师:xxx 兰州交通大学自动化与电气工程学院 2016 年 07 月 15 日

水箱液位控制系统设计 1设计目的 通过课程设计使学生掌握如何应用微型计算机结合自动控制理论中的各种控制算法构成一个完整的闭环控制系统的原理和方法;掌握工业控制中典型闭环控制系统的硬件部分的构成、工作原理及其设计方法;掌握控制系统中典型算法的程序设计方法;掌握测控对象参数检测方法、变送器的功能、执行器和调节阀的功能、过程控制仪表的PID控制参数整定方法,进一步加强对课堂理论知识的理解与综合应用能力,进而提高解决实际工程问题的能力。 2 设计要求 设计双容水箱液位控制系统,由水泵1、2分别通过支路1、2向上水箱注水,在支路一中设置调节阀,为保持下水箱液位恒定,支路二则通过变频器对下水箱液位施加干扰。设计串级控制系统以维持下水箱液位的恒定,双容水箱液位控制系统示意图如下图1所示。 图1 双容水箱液位控制系统示意图 3 设计方法 为保持水箱液位的稳定,设计中采用闭环系统,将下水箱液位信号经水位检测器送至控制器(PID),控制器将实际水位与设定值相比较,产生输出信号作用于执行器(控制阀),从而改变流量调节水位。当对象是单水箱时,通过不断调整PID参数,单闭环控制系统理论上可以达到比较好的效果,系统也将有较好的抗干扰能力。该设计对象属于双水箱系统,整个对象控制通道相对较长,如果采用单闭环控制系统,当上水箱有干扰时,此干扰经过控制通路传递到下水箱,会有很大的延迟,进而使控制器响应滞后,影响控制效果,在实际生产中,如果干扰频繁出现,无论如何调整PID参数,都将无法得到满意的效果。考虑到串级控制可以使某些主要干扰提前被发现,及早控制,在内环引入负反馈,检测上水箱液位,将液位信号送至副控制器,然后直接作用于控制阀,以此得到较好的控制效果。 4设计方案及原理 系统功能介绍 整个过程控制系统由控制器,执行器,测量变送,被控对象组成,在本次控制系统中控制器为单片机,采用算法为PID控制规律,执行器为电磁阀,采样采用A/D芯片,测量变送器为A,被控对象为流量B。整个控制过程,当系统受到扰

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

计算机控制课程设计

目录

一、设计背景及意义 当今,红绿灯安装在个个道口上,已经成为疏导交通车辆最常见和最有效的手段。单片机具有性价比高、集成度高、可靠性好、抗干扰性强等特点,广泛运用于各种智能仪器中。基于新型规则的可编程交通控制系统,可以实现对车辆、行人的控制,使的交通便于管理。所以,采用单片机自动控制交通灯有现实的社会意义。 二、设计任务 1. 采用AT89C51芯片; 2. 使用发光二极管(红,黄,绿)代表各个路口的交通灯; 3. 用8段数码管对转换时间进行倒时; 4、带紧急按钮功能,当紧急按钮按下时,所有方向均亮起红灯; 5. 控制程序采用C语言编程。 三、控制系统设计原理 3.1 设计思路 利用单片机实现交通灯的控制,该任务分以下几个方面: a、实现红、绿、黄灯的循环控制。要实现此功能需要表示三种不同颜色的LED灯分别接在P1个管脚,用软件实现。 b、用数码管显示倒计时。可以利用动态显示或静态显示,串行并出或者并行并出实现。 c、紧急状况功能。这需要人工实现,编程时利用到中断才能带到目的,只要有按钮按下,那么四个方向全部显示红灯,禁止车辆通行。当情况解除(再次按下按钮),重新回到初始状态。

3.2 总体设计图 图1 3.2.1 交通灯循环控制 使用AT89C51单片机完成对十字路口交通灯的控制,十字路口的工作过程分为东西方向和南北方向两个干道的红绿黄灯工作状态(红灯亮表示禁止通行,绿灯亮表示允许通行,黄灯亮表示提醒红绿灯之间状态的切换)的控制,每个工作状态的时间设为40s,采用循环的控制方式,具体控制过程如下(如图2):1、系统工作开始后,首先进入初始设定阶段,东西方向亮红灯,南北方向亮绿灯; 2、进入状态1的倒计时阶段,东西方向的红灯开始40s倒计时,南北方向绿灯开始35s倒计时; 3、进入状态1过渡阶段,东西方向红灯开始最后5s倒计时,南北方向黄灯亮并开始5s倒计时; 4、过渡阶段1完成后,东西方向亮绿灯,南北方向亮红灯; 5、进入状态2的倒计时阶段,南北方向的红灯开始40s倒计时,东西方向绿灯开始35s倒计时; 6、进入状态2过渡阶段,南北方向红灯开始最后5s倒计时,东西方向黄灯亮并开始5s倒计时; 7、过渡阶段2完成后,进入状态1,开始循环。 图2

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

计算机控制技术课程设计

计算机控制技术课程设 计 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

目录 1 引言 (1) 2 课程设计任务和要求 (2) 3 直流伺服电机控制系统概述 (2) 直流伺服系统的构成 (2) 伺服系统的定义 (2) 伺服系统的组成 (2) 伺服系统的控制器的分类 (3) 直流伺服系统的工作过程 (4) 4 直流伺服电机控制系统的设计 (5) 方案设计步骤 (5) 总体方案的设计 (5) 控制系统的建模和数字控制器设计 (7) 数字PID工作原理 (8) 数字PID算法的simulink仿真 (8) 5 硬件的设计和实现 (9) 选择计算机机型(采用51内核的单片机) (9) 80C51电源 (10) 80C51时钟 (10) 80C51 控制线 (10) 80C51 I/O接口 (11) 设计支持计算机工作的外围电路(键盘、显示接口电路等) (11) 数据锁存器 (11) 键盘 (11) 显示器 (12) 数模转换器ADC0808 (12) 其它相关电路的设计或方案 (13) 供电电源设计 (13) 检测电路设计 (13)

功率驱动电路 (14) 仿真原理图 (14) 6软件设计 (14) 程序设计思想 (14) 主程序模块框图 (15) 编写主程序 (15) 7 总结 (16) 附录1 ADC0808程序 (17) 附录2 数字控制算法程序 (18) 参考文献 (19)

1 引言 半个世纪来,直流伺服控制系统己经得到了广泛的应用。随着伺服电动机技术、电力电子技术、计算机控制技术的发展,使得伺服控制系统朝着控制电路数字化和功率器件的模块化的方向发展。 本文介绍直流伺服电机实验台的硬件、软件设计方案。通过传感器对电机位移进行测量,控制器将实际位移量与给定位移量进行比较,控制信号驱动伺服电机控制电源工作,实现伺服电机的位置控制。其电机位置随动系统硬件设计主要包括:总体方案设计、单片机应用系统设计、驱动电路设计和测量电路设计。软件编制采用模块化的设计方式,通过系统的整体设计,完成了系统的基本要求,系统可以稳定的运行。 本次设计说明书主要包括主要包括主程序设计、模数转换器ADC0809程序及数字控制算法程序的设计等内容。 通过本次设计,加深在计算机控制系统课程中所学的知识的理解,提高电气设计与分析的能力,为今后的工作打下基础。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

微机原理步进电机控制课程设计报告终审稿)

微机原理步进电机控制课程设计报告 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

河北科技大学 课程设计报告学生姓名:学号: 专业班级: 课程名称: 学年学期: 2 0 —2 0 学年第学期 指导教师: 2 0 年月 课程设计成绩评定表

目录 一、设计题目………………………………………………………………. 二、设计目的………………………………………………………………. 三、设计原理及方案………………………………………………………. 四、实现方法………………………………………………………………. 五、实施结果………………………………………………………………. 六、改进意见及建议……………………………………………………….

、 一、设计题目 编程实现步进电机的控制 二、设计目的 1.了解步进电机控制的基本原理 2.掌握控制步进电机转动的编程方法 3.了解8086控制外部设备的常用电路 4.掌握8255的使用方法 三、设计原理及方案 3.1设计原理 步进电机驱动原理是通过对每相线圈中的电流的顺序切换(实验中的步进电机有四相线圈,每次有二相线圈有电流,有电流的相顺序变化),来使电机作步进式旋转。驱动电路由脉冲信号来控制,所以调节脉冲信号的频率便可改变步进电机的转速。 利用 8255对四相步进电机进行控制。当对步进电机施加一系列连续不断的控制脉冲时,它可以连续不断地转动。每一个脉冲信号对应步进电机的某一相或两相绕组的通电状态改变一次,也就对应转子转过一定的角度(一个步距角)。当通电状态的改变完成一个循环时,转子转过一个齿距。四相步进电机可以在不同的通电方式下运行,常见的通电方式有单(单相绕组通电)四拍(A-B-C-D-A…),双(双相绕组通电)四拍(AB-BC-CD-DA-AB…),八拍(A-AB-B-BC-C-CD-D-DA-A…)等。 通过编程对8255的输出进行控制,使输出按照相序表给驱动电路供电,则步进电机的输入也和相序表一致,这样步进电机就可以正向转动或反向转动。 3.2硬件连接图 四.实现方法 4.1.步进电机控制程序流图

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

相关主题
文本预览
相关文档 最新文档