当前位置:文档之家› 《EDA技术实用教程》部分习题解答

《EDA技术实用教程》部分习题解答

《EDA技术实用教程》部分习题解答
《EDA技术实用教程》部分习题解答

《EDA技术实用教程》部分习题解答

一、基本概念

1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4

答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD 是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点? P6

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5

什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

2-1 叙述EDA的FPGA/CPLD设计流程。P13~16

答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2 IP是什么?IP与EDA技术的关系是什么? P24~26

IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

2-3 叙述ASIC的设计方法。P18~19

答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计

正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18

答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。P19~23

答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。P34~36 OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40

答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3 什么是基于查找表的可编程逻辑结构? P40~41

答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4 FPGA系列器件中的LAB有何作用? P43~45

答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50

答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部节点无法测试的难题。

3-6 解释编程与配置这两个概念。P58

答:编程:基于电可擦除存储单元的EEPROM或Flash技术。CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:基于SRAM查找表的编程单元。编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分FPGA采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

5-1 归纳利用Quartus II进行VHDL文本输入设计的流程:从文件输入一直到SignalTap II测试。P95~P115 答:1 建立工作库文件夹和编辑设计文件;2 创建工程;3 编译前设置;4 全程编译;5 时序仿真;6 引脚锁定;7 配置文件下载;8 打开SignalTap II编辑窗口;9 调入SignalTap II的待测信号;

10 SignalTap II参数设置;11 SignalTap II参数设置文件存盘;12 带有SignalTap II测试信息的编译下载;13 启动SignalTap II进行采样与分析;14 SignalTap II的其他设置和控制方法。

6-1 什么是固有延时?什么是惯性延时?P150~151

答:固有延时(Inertial Delay)也称为惯性延时,固有延时的主要物理机制是分布电容效应。

6-2 δ是什么?在VHDL中,δ有什么用处?P152

δ是什么? 答:在VHDL仿真和综合器中,默认的固有延时量(它在数学上是一个无穷小量),被称为δ延时。

在VHDL中,δ有什么用处?答:在VHDL信号赋值中未给出固有延时情况下,VHDL仿真器和综合器将自动为系统中的信号赋值配置一足够小而又能满足逻辑排序的延时量δ;使并行语句和顺序语句中的并列赋值逻辑得以正确执行。

6-4 说明信号和变量的功能特点,以及应用上的异同点。P128~P129

答:变量:变量是一个局部量,只能在进程和子程序中使用。变量不能将信息带出对它做出定义的当前结构。变量的赋值是一种理想化的数据传输,是立即发生的,不存在任何延时行为。变量的主要作用是在进程中作为临时的数据存储单元。

信号:信号是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。

6-5 在VHDL设计中,给时序电路清零(复位)有两种力方法,它们是什么?

解:设Q定义成信号,一种方法:Q<=“000…000”;其中“000…000”反映出信号Q的位宽度。第二种方法:Q<=(OTHERS=>‘0’);其中OTHERS=>‘0’不需要给出信号Q的位宽度,即可对Q清零。6-7 什么是重载函数?重载算符有何用处?如何调用重载算符函数?

答:(1)什么是重载函数? 根据操作对象变换处理功能。

(2)重载算符有何用处? 用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。

(3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。

7-2 LPM_ROM、LPM_RAM、LPM_FIFO等模块与FPGA中嵌入的EAB、ESB、M4K有怎样的联系?

答:ACEXlK系列为EAB;APEX20K系列为ESB;Cyclone系列为M4K

二、VHDL程序分析处理

4-1 画出与以下实体描述对应的原理图符号元件:

ENTITY buf3s IS --实体1:三态缓冲器

PORT(input:IN STD_LOGIC; --输入端

enable:IN STD_LOGIC; --使能端

output:OUT STD_LOGIC); --输出端

END buf3s ;

ENTITY mux21 IS --实体2: 2选1多路选择器

PORT(in0, in1,sel: IN STD_LOGIC;

output:OUT STD_LOGIC);

6-6 哪一种复位方法必须将复位信号放在敏感信号表中?给出这两种电路的VHDL描述。

解:边沿触发复位信号要将复位信号放在进程的敏感信号表中。

(1)边沿触发复位信号

…………………….

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(RST)

BEGIN

IF RST’EVENT AND RST=‘1' THEN

QQ<=(OTHERS=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

(2)电平触发复位信号

…………………….

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(CLK)

BEGIN

IF RST=‘1' THEN

QQ<=(OTHERS=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

6-8 判断下面三个程序中是否有错误,若有则指出错误所在,并给出完整程序。

程序1:

Signal A,EN : std_logic;

…………………

Process(A, EN)

Variable B: std_log ic;

Begin

if EN=l then B<=A; end if;--将“B<=A”改成“B:=A”

end process;

程序2:

Architecture one of sample is

variable a,b,c:integer;

begin

c<=a+b;--将“c<=a+b”改成“c:=a+b”

end;

程序3:

library ieee;

use ieee.std_logic_1164.all;

entity mux21 is

PORT(a,b:in std_logic; sel:in std_loglc;c:out std_logle;); --将“;)”改成“)”

end sam2; --将“sam2”改成“entity mux21”

architecture one of mux2l is

begin

--增加“process(a,b,sel)begin”

if sel= '0' then c:=a; else c:=b; end if; --应改成“if sel= '0' then c<=a; else c<=b; end if;”

--增加“end process;”

end two; --将“two”改成“architecture one”

三、应用设计

【例4-3】2选1多路选择器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux21a IS

PORT(a,b,s:IN BIT;

y:OUT BIT);

END ENTITY mux21a;

ARCHITECTURE one 0F mux21a IS

BEGIN

PROCESS(a,b,s)

BEGIN

IF s=‘0’ THEN

y<=a;

ELSE

y<=b;

ENDIF;

END PROCESS;

4-2 图4-17所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序,选择控制信号s1和s0的数据类型为STD_LOGIC_VECTOR;当s1=’0’,s0=’0’;s1=’0’,s0=’1’;s1=’1’,s0=’0’和s1=’1’,s0=’1’时,分别执行y<=a、y<=b、y<=c、y<=d。

--解1:用IF_THEN语句实现4选1多路选择器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41 IS

PORT (a,b,c,d: IN STD_LOGIC;

s0: IN STD_LOGIC;

s1: IN STD_LOGIC;

y: OUT STD_LOGIC);

END ENTITY mux41;

ARCHITECTURE if_mux41 OF mux41 IS

SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据

BEGIN

s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作

PROCESS(s0s1,a,b,c,d)

BEGIN

IF s0s1 = "00" THEN y <= a;

ELSIF s0s1 = "01" THEN y <= b;

ELSIF s0s1 = "10" THEN y <= c;

ELSE y <= d;

END IF;

END PROCESS;

END ARCHITECTURE if_mux41;

--解2:用CASE语句实现4选1多路选择器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux41 IS

PORT (a,b,c,d: IN STD_LOGIC;

s0: IN STD_LOGIC;

s1: IN STD_LOGIC;

y: OUT STD_LOGIC);

END ENTITY mux41;

ARCHITECTURE case_mux41 OF mux41 IS

SIGNAL s0s1 : STD_LOGIC_VECTOR(1 DOWNTO 0);--定义标准逻辑位矢量数据类型

BEGIN

s0s1<=s1&s0; --s1相并s0,即s1与s0并置操作

PROCESS(s0s1,a,b,c,d)

BEGIN

CASE s0s1 IS --类似于真值表的case 语句

WHEN "00" => y <= a;

WHEN "01" => y <= b;

WHEN "10" => y <= c;

WHEN "11" => y <= d;

WHEN OTHERS =>NULL ;

END CASE;

END PROCESS;

END ARCHITECTURE case_mux41;

4-5 给出1位全减器的VHDL 描述;最终实现8位全减器。要求:

1)首先设计1位半减器,然后用例化语句将它们连接起来,图4-20中h_suber 是半减器,diff 是输出差

(diff=x-y),s_out

是借位输出(s_out=1,x

--解(1.1):实现1位半减器h_suber(diff=x-y ;s_out=1,x

LIBRARY IEEE; --半减器描述(1):布尔方程描述方法

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY h_suber IS

PORT( x,y: IN STD_LOGIC;

diff,s_out: OUT STD_LOGIC);

END ENTITY h_suber;

ARCHITECTURE hs1 OF h_suber IS

BEGIN

Diff <= x XOR (NOT y);

s_out <= (NOT x) AND y;

xin yin a b diff_out c

END ARCHITECTURE hs1;

--解(1.2):采用例化实现图4-20的1位全减器

LIBRARY IEEE; --1位二进制全减器顺层设计描述

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY f_suber IS

PORT(xin,yin,sub_in: IN STD_LOGIC;

sub_out,diff_out: OUT STD_LOGIC);

END ENTITY f_suber;

ARCHITECTURE fs1 OF f_suber IS

COMPONENT h_suber --调用半减器声明语句

PORT(x, y: IN STD_LOGIC;

diff,s_out: OUT STD_LOGIC);

END COMPONENT;

SIGNAL a,b,c: STD_LOGIC; --定义1个信号作为内部的连接线。

BEGIN

u1: h_suber PORT MAP(x=>xin,y=>yin,diff=>a,s_out=>b);

u2: h_suber PORT MAP(x=>a,y=>sub_in,diff=>diff_out,s_out=>c);

sub_out <= c OR b;

END ARCHITECTURE fs1;

8-1仿照例8-1,将例8-4单进程用两个进程,即一个时序进程,一个组合进程表达出来。--解:【例8-4】的改写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MOORE1 IS

PORT(DATAIN: IN STD_LOGIC_VECTOR(1 DOWNTO 0);

CLK,RST: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END MOORE1;

ARCHITECTURE behav OF MOORE1 IS

TYPE ST_TYPE IS (ST0,ST1,ST2,ST3,ST4);

SIGNAL C_ST,N_ST: ST_TYPE;

BEGIN

REG: PROCESS(CLK,RST)

BEGIN

IF RST='1' THEN C_ST<=ST0; -- Q<="0000";

ELSIF CLK'EVENT AND CLK='1' THEN

C_ST<=N_ST;

END IF;

END PROCESS REG;

COM: PROCESS(C_ST,DATAIN)

BEGIN

CASE C_ST IS

WHEN ST0=> IF DATAIN="10" THEN N_ST<=ST1;

ELSE N_ST<=ST0; END IF;

Q<="1001";

WHEN ST1=> IF DATAIN="11" THEN N_ST<=ST2;

ELSE N_ST<=ST1 ;END IF;

Q<="0101";

WHEN ST2=> IF DATAIN="01" THEN N_ST<=ST3;

ELSE N_ST<=ST0 ;END IF;

Q<="1100";

WHEN ST3=> IF DATAIN="00" THEN N_ST<=ST4;

ELSE N_ST<=ST2; END IF;

Q<="0010";

WHEN ST4=>IF DATAIN="11" THEN N_ST<=ST0;

ELSE N_ST<=ST3 ;END IF;

Q<="1001" ;

WHEN OTHERS=> N_ST<=ST0;

END CASE;

END PROCESS COM;

END behav;

8-2为确保例8-5(2进程Mealy型状态机)的状态机输出信号没有毛刺,试用例8-4的方式构成一个单进程状态,使输出信号得到可靠锁存,在相同输入信号条件下,给出两程序的仿真波形。

--解:【例8-5】改写如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MEALY1 IS

PORT(CLK,DATAIN,RESET: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(4 DOWNTO 0));

END MEALY1;

ARCHITECTURE behav OF MEALY1 IS

TYPE states IS (st0,st1,st2,st3,st4);

SIGNAL STX: states;

BEGIN

PROCESS(CLK,RESET) --单一进程

BEGIN

IF RESET='1' THEN STX<=ST0;

ELSIF CLK'EVENT AND CLK='1' THEN

CASE STX IS

WHEN st0=> IF DATAIN='1' THEN STX<=st1; END IF;

IF DATAIN='1' THEN Q<="10000";

ELSE Q<="01010" ; END IF;

WHEN st1=> IF DATAIN='0' THEN STX<=st2; END IF;

IF DATAIN='0' THEN Q<="10111";

ELSE Q<="10100" ; END IF;

WHEN st2=> IF DATAIN='1' THEN STX<=st3; END IF;

IF DATAIN='1' THEN Q<="10101";

ELSE Q<="10011" ; END IF;

WHEN st3=> IF DATAIN='0' THEN STX<=st4; END IF;

IF DATAIN='0' THEN Q<="11011";

ELSE Q<="01001"; END IF ;

WHEN st4=> IF DATAIN='1' THEN STX<=st0; END IF;

IF DATAIN='1' THEN Q<="11101";

ELSE Q<="01101"; END IF;

WHEN OTHERS=> STX<=st0; Q<="00000";

END CASE;

END IF;

END PROCESS;

END behav;

图8-6控制ADC0809采样状态图

-- 【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ADCINT IS

PORT(D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --来自0809转换好的8位数据

CLK: IN STD_LOGIC; --状态机工作时钟

EOC: IN STD_LOGIC; --转换状态指示,低电平表示正在转换

ALE:OUT STD_LOGIC; --8个模拟信号通道地址锁存信号

START:OUT STD_LOGIC; --转换开始信号

OE:OUT STD_LOGIC; --数据输出三态控制信号

ADDA:OUT STD_LOGIC; --信号通道最低位控制信号

LOCK0:OUT STD_LOGIC; --观察数据锁存时钟

Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --8位数据输出

END ADCINT;

ARCHITECTURE behav OF ADCINT IS

TYPE states IS(st0,st1,St2,st3,st4); --定义各状态子类型

SIGNAL current_state,next_state: states:=st0;

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;--转换后数据输出锁存时钟信号

BEGIN

ADDA<='1';--当ADDA<='0',模拟信号进入通道IN0;当ADDA<='1',则进入通道INI

Q<=REGL;LOCK0<=LOCK;

COM: PROCESS(current_state,EOC) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> ALE<='0';START<='0';LOCK<='0';OE<='0';

next_state<=st1; --0809初始化

WHEN st1=> ALE<='1';START<='1';LOCK<='0';OE<='0';

next_state<=st2 ;--启动采样

WHEN st2=> ALE<='0';START<='0';LOCK<='0'; OE<='0';

IF(EOC='1') THEN next_state<=st3;--EOC=1表明转换结束

ELSE next_state<=st2; END IF; --转换未结束,继续等待

WHEN st3=> ALE<='0';START<='0';LOCK<='0';OE<='1';

next_state<=st4;--开启OE,输出转换好的数据

WHEN st4=> ALE<='0';START<='0';LOCK<='1';OE<='1';next_state<=st0;

WHEN OTHERS=>next_state<=st0;

END CASE;

END PROCESS COM;

REG:PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN current_state<=next_state; END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG

LATCH1: PROCESS(LOCK) --此进程中,在LOCK的上升沿,将转换好的数据锁入

BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D; END IF;

END PROCESS LATCH1;

END behav;

8-5在不改变原代码功能的条件下用两种方法改写例8-2,使其输出的控制信号(ALE、START、OE、LOCK)没有毛刺。方法1:将输出信号锁存后输出;方法2:使用状态码直接输出型状态机,并比较这三种状态机的特点。

-- 解:"【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器" 方法1(将输出控制信号锁存后输出)的VHDL程序代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ADCINT IS

PORT(D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --来自0809转换好的8位数据

CLK: IN STD_LOGIC; --状态机工作时钟

EOC: IN STD_LOGIC; --转换状态指示,低电平表示正在转换

ALE: OUT STD_LOGIC; --8个模拟信号通道地址锁存信号

START: OUT STD_LOGIC; --转换开始信号

OE: OUT STD_LOGIC; --数据输出三态控制信号

ADDA: OUT STD_LOGIC; --信号通道最低位控制信号

LOCK0: OUT STD_LOGIC; --观察数据锁存时钟

Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --8位数据输出

END ADCINT;

ARCHITECTURE behav OF ADCINT IS

TYPE states IS(st0,st1,St2,st3,st4); --定义各状态子类型

SIGNAL current_state,next_state: states:=st0;

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;--转换后数据输出锁存时钟信号

SIGNAL ALE0: STD_LOGIC; --8个模拟信号通道地址锁存信号

SIGNAL START0: STD_LOGIC; --转换开始信号

SIGNAL OE0: STD_LOGIC; --数据输出三态控制信号

BEGIN

ADDA<='1';--当ADDA<='0',模拟信号进入通道IN0;当ADDA<='1',则进入通道INI Q<=REGL; --LOCK0<=LOCK;

COM: PROCESS(current_state,EOC,CLK) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> ALE0<='0';START0<='0';LOCK<='0';OE0<='0';

next_state<=st1; --0809初始化

WHEN st1=> ALE0<='1';START0<='1';LOCK<='0';OE0<='0';

next_state<=st2 ;--启动采样

WHEN st2=> ALE0<='0';START0<='0';LOCK<='0'; OE0<='0';

IF(EOC='1') THEN next_state<=st3;--EOC=1表明转换结束

ELSE next_state<=st2; END IF; --转换未结束,继续等待

WHEN st3=> ALE0<='0';START0<='0';LOCK<='0';OE0<='1';

next_state<=st4;--开启OE,输出转换好的数据

WHEN st4=> ALE0<='0';START0<='0';LOCK<='1';OE0<='1';next_state<=st0;

WHEN OTHERS=>next_state<=st0;

END CASE;

IF CLK'EVENT AND CLK= '1' THEN

ALE<=ALE0;START<=START0;LOCK0<=LOCK;OE<=OE0;--方法1:信号锁存后输出

END IF;

END PROCESS COM;

REG:PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN current_state<=next_state; END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG

LATCH1: PROCESS(LOCK) --此进程中,在LOCK的上升沿,将转换好的数据锁入

BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D; END IF;

END PROCESS LATCH1;

END behav;

-- 解:"【例8-2】根据图8-6状态图,采用Moore型状态机,设计ADC0809采样控制器" 方法2(使用状态码直接输出型状态机)的VHDL程序代码(【例8-7】的根据状态编码表8-1给出ADC0809数据采样的状态机)如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY AD0809 IS

PORT( D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CLK,EOC: IN STD_LOGIC;

ALE,START,OE,ADDA:OUT STD_LOGIC;

c_state:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);

Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END AD0809;

ARCHITECTURE behav OF AD0809 IS

SIGNAL current_state,next_state: STD_LOGIC_VECTOR(4 DOWNTO 0);

CONSTANT st0: STD_LOGIC_VECTOR(4 DOWNTO 0):="00000";

CONSTANT st1: STD_LOGIC_VECTOR(4 DOWNTO 0):="11000";

CONSTANT st2: STD_LOGIC_VECTOR(4 DOWNTO 0):="00001";

CONSTANT st3: STD_LOGIC_VECTOR(4 DOWNTO 0):="00100";

CONSTANT st4: STD_LOGIC_VECTOR(4 DOWNTO 0):="00110";

SIGNAL REGL: STD_LOGIC_VECTOR(7 DOWNTO 0);

SIGNAL LOCK: STD_LOGIC;

BEGIN

ADDA<='1';Q<=REGL;START<=current_state(4);ALE<=current_state(3);

OE<=current_state(2);LOCK<=current_state(1);c_state<=current_state;

COM: PROCESS(current_state,EOC) BEGIN --规定各状态转换方式

CASE current_state IS

WHEN st0=> next_state<=st1; --0809初始化

WHEN st1=> next_state<=st2; --启动采样

WHEN st2=> IF(EOC='1') THEN next_state<=st3; --EOC=1表明转换结束

ELSE next_state<=st2; --转换未结束,继续等待

END IF;

WHEN st3=> next_state<=st4; --开启OE,输出转换好的数据

WHEN st4=> next_state<=st0;

WHEN OTHERS=> next_state<=st0;

END CASE;

END PROCESS COM;

REG: PROCESS(CLK)

BEGIN

IF (CLK'EVENT AND CLK='1') THEN current_state<=next_state;

END IF;

END PROCESS REG; --由信号current_state将当前状态值带出此进程:REG

LATCH1: PROCESS(LOCK)--此进程中,在LOCK的上升沿,将转换好的数据锁入 BEGIN

IF LOCK='1' AND LOCK'EVENT THEN REGL<=D;

END IF;

END PROCESS LATCH1;

运筹学试题及答案

运筹学A卷) 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,答案选错或未选者,该题不得分。每小题1分,共10分) 1.线性规划具有唯一最优解就是指 A.最优表中存在常数项为零 B.最优表中非基变量检验数全部非零 C.最优表中存在非基变量的检验数为零 D.可行解集合有界 2.设线性规划的约束条件为 则基本可行解为 A.(0, 0, 4, 3) B.(3, 4, 0, 0) C.(2, 0, 1, 0) D.(3, 0, 4, 0) 3.则 A.无可行解 B.有唯一最优解medn C.有多重最优解 D.有无界解 4.互为对偶的两个线性规划, 对任意可行解X 与Y,存在关系 A.Z > W B.Z = W C.Z≥W D.Z≤W 5.有6 个产地4个销地的平衡运输问题模型具有特征 A.有10个变量24个约束

B.有24个变量10个约束 C.有24个变量9个约束 D.有9个基变量10个非基变量 6、下例错误的说法就是 A.标准型的目标函数就是求最大值 B.标准型的目标函数就是求最小值 C.标准型的常数项非正 D.标准型的变量一定要非负 7、m+n-1个变量构成一组基变量的充要条件就是 A.m+n-1个变量恰好构成一个闭回路 B.m+n-1个变量不包含任何闭回路 C.m+n-1个变量中部分变量构成一个闭回路 D.m+n-1个变量对应的系数列向量线性相关 8.互为对偶的两个线性规划问题的解存在关系 A.原问题无可行解,对偶问题也无可行解 B.对偶问题有可行解,原问题可能无可行解 C.若最优解存在,则最优解相同 D.一个问题无可行解,则另一个问题具有无界解 9、有m个产地n个销地的平衡运输问题模型具有特征 A.有mn个变量m+n个约束…m+n-1个基变量 B.有m+n个变量mn个约束 C.有mn个变量m+n-1约束 D.有m+n-1个基变量,mn-m-n-1个非基变量 10.要求不超过第一目标值、恰好完成第二目标值,目标函数就是

(完整版)模拟电路第七章课后习题答案

第七章 习题与思考题 ◆◆ 习题 7-1 在图P7-1所示的放大电路中,已知R 1=R 2=R 5=R 7=R 8=10k Ω,R 6=R 9=R 10=20k Ω: ① 试问R 3和R 4分别应选用多大的电阻; ② 列出u o1、u o2和u o 的表达式; ③ 设u I1=3V ,u I2=1V ,则输出电压u o =? 解: ① Ω=Ω==k k R R R 5)10//10(//213,Ω≈Ω==k k R R R 67.6)20//10(//654 ② 1111211010I I I o u u u R R u -=-=- =,2226525.1)2010 1()1(I I I o u u u R R u =+=+=, 2121217932)5.1(10 20 )(I I I I o o o u u u u u u R R u +=---=-- = ③ V V u u u I I o 9)1332(3221=?+?=+= 本题的意图是掌握反相输入、同相输入、差分输入比例运算电路的工作原理,估算三种比例电路的输入输 出关系。 ◆◆ 习题 7-2 在图P7-2所示电路中,写出其 输出电压u O 的表达式。 解: I I I I o u R R u R R u R R u R R u ])1[()()1(4 5124 512 ++=--+ = 本题的意图是掌握反相输入和同相输入比例 电路的输入、输出关系。

◆◆ 习题 7-3 试证明图P7-3中,)(1122 1 I I o u u R R u -= )+( 解: 11 2 1)1(I o u R R u + = ))(1()1()1()1()1()1(122 122112122111221221121I I I I I I I o o u u R R u R R u R R u R R u R R R R u R R u R R u -+=+++ -=+++-=++- = 本题的意图是掌握反相输入和同相输入比例电路的输入、输出关系。 ◆◆ 习题 7-4 在图P7-4所示电路中,列出u O 的表达式。 解: 反馈组态应为深度电压串联负反馈,因此有uu uf F A &&1= I o R R I o uf uu u R R u u R R u R R R R R A R R R F )1()1(11 7373737373313+=???→?+=?+=+=?+==若&&

c++课程设计题目(分析)

1、设计一个模拟选课系统 问题描述:假定有30门课程,每门课程有课程编号,课程名称,课程性质,总学时,授课学时,学分,额定选课人数(每门课选课不超过40人),实际选课人数。学生可按要求(如总学分不得少于40)自由选课(如果某门课的实际选课人数=额定选课人数,不允许选课)。每个学生信息包括学号,姓名,选取课程(每个同学可选课程不超过8门课),实际选课门数(不一定选满8门课)。 试设计一选修课程系统,使之能提供以下功能: (1).学生端:由学生进行操作,此操作包括查询课程信息(按学分查询,按课程性质查询),学生选课(不支持重复选课,即一门课被同一个同学选择多次),退课,查询选课情况等 (2).管理端:由管理员进行操作,此操作包括增加/删除学生、课程,填写成绩(以课程为单位,分别填写某门课程的考试成绩),更改学分,查询某门课的选课情况 要求: (1)系统以菜单方式工作(字符界面即可,界面给出操作提示,如下所示,以下各题要求相同。也可以采用Visual图形界面完成。) ***************** * 1.…* * 2. …* ***************** (2)创建文件,将信息以文本文件的形式进行保存。(建立课程文件,存储课程信息;建立学生文件,存储学生信息;建立选课文件,存储选课结果(课程号,学生号,成绩);根据需要,创建其他文件) 2、银行账户管理程序 问题描述:设计一个银行账户管理程序,账户的信息有账号(唯一)、姓名、余额、身份证号码、单位、电话号码、地址,存、取款记录(日期,金额,类型(存,取)。由于没有学链表,所以可以定义一个数组存储改信息)等;允许用户进行如下操作:开户、销户、存款、取款、转账、查询(某个账户的信息,某个用户的所有帐户的信息),一个用户可以有多个户头,账户的数值不超过10000个(注:因没有学习链表,所以此处只能作此限制)。 基本要求 程序运行时,可以由用户选择进行何种操作,开户操作要求输入用户信息后自动获取账号(帐号应连续编号),用户销户后账号被回收,并且该账号可以继续分配给其它账户,不允许用户透支,根据姓名或账号可以进行用户的信息查询,所有的账户信息应存放到一个文件中,可以随时的访问和更新。 测试数据 程序应输入不少于10人的账户信息,应考虑到人员同名的情况。 实现提示 定义一个文件,记录银行工作的流水信息。 可定义一个账户类(count)存放账户信息以及执行相应的操作,可以用另外一个类(数据成员为count数组,数组中的数据与流水文件相关)来管理账户。 3、单项选择题标准化考试系统设计 问题描述:设计一个单项选择题标准化考试系统,该系统要求能自动组卷和评分

第3章部分习题与解答

第三章习题 4 .针对上题中建立的四个表试用SQL语言完成第2章习题5中的查询。 答案: (1)求供应工程J1零件的供应商号码SNO; SELECT SNO FROM SPJ WHERE JNO=‘J1’; (2)求供应工程J1零件P1的供应商号码SNO; SELECT SNO FROM SPJ WHERE JNO=‘J1’AND PNO=‘P1’; (3)求供应工程J1零件为红色的供应商号码SNO; SELECT SNO /*这是嵌套查询*/ FROM SPJ WHERE JNO=‘J1’AND PNO IN /*找出红色的零件的零件号码PNO */ (SELECT PNO FROM P /*从P表中找*/ WHERE COLOR=‘红’); 或SELECT SNO FROM SPJ,P /*这是两表连接查询*/ WHERE JNO=‘J1’/*这是复合条件连接查询*/ AND SPJ.PNO=P.PNO AND COLOR=‘红’; (4)求没有使用天津供应商生产的红色零件的工程号JNO; *解析:第一种解法是使用多重嵌套查询,第二种方法的子查询是一个多表连接。 注意:从J表入手,以包含那些尚未使用任何零件的工程号。 SELECT JNO FROM J WHERE NOT EXISTS (SELECT * FROM SPJ WHERE SPJ.JNO=J.JNO AND SNO IN /*天津供应商的SNO*/ (SELECT SNO FROM S WHERE CITY=‘天津’) AND PNO IN /*红色零件的PNO*/ (SELECT PNO FROM P WHERE COLOR=‘红’)); 或SELECT JNO FROM J WHERE NOT EXISTS (SELECT * FROM SPJ, S, P WHERE SPJ.JNO=J.JNO AND SPJ.SNO=S.SNO AND SPJ.PNO=P.PNO AND S.CITY=‘天津’AND P. COLOR=‘红’); //注:本例中父查询和子查询均引用了Student表,可以像自身连接那样用别名将父查询中的Student表与子查询中的Student表区分开:// (5)求至少用了供应商S1所供应的全部零件的工程号JNO (类似于P113例44)。 解析:它所表达的语义为:不存在这样的零件y,供应商S1供应了y,而工程x 没有选用y。 用SQL语言表示如下:

运筹学典型考试试题及答案

二、计算题(60分) 1、已知线性规划(20分) MaxZ=3X1+4X2 X1+X2≤5 2X1+4X2≤12 3X1+2X2≤8 X1,X2≥0 其最优解为: 基变量X1X2X3X4X5 X33/2 0 0 1 -1/8 -1/4 X25/2 0 1 0 3/8 -1/4 X1 1 1 0 0 -1/4 1/2 σj 0 0 0 -3/4 -1/2 1)写出该线性规划的对偶问题。 2)若C2从4变成5,最优解是否会发生改变,为什么? 3)若b2的量从12上升到15,最优解是否会发生变化,为什么? 4)如果增加一种产品X6,其P6=(2,3,1)T,C6=4该产品是否应该投产?为什么?解: 1)对偶问题为 Minw=5y1+12y2+8y3 y1+2y2+3y3≥3 y1+4y2+2y3≥4 y1,y2≥0 2)当C2从4变成5时, σ4=-9/8 σ5=-1/4 由于非基变量的检验数仍然都是小于0的,所以最优解不变。 3)当若b2的量从12上升到15 X=9/8 29/8 1/4 由于基变量的值仍然都是大于0的,所以最优解的基变量不会发生变化。 4)如果增加一种新的产品,则 P6’=(11/8,7/8,-1/4)T σ6=3/8>0 所以对最优解有影响,该种产品应该生产 2、已知运输问题的调运和运价表如下,求最优调运方案和最小总费用。(共15分)。 B1B2B3产量销地 产地 A1 5 9 2 15 A2 3 1 7 11 A3 6 2 8 20 销量18 12 16 解:初始解为

计算检验数 由于存在非基变量的检验数小于0,所以不是最优解,需调整 调整为: 重新计算检验数 所有的检验数都大于等于0,所以得到最优解 3、某公司要把4个有关能源工程项目承包给4个互不相关的外商投标者,规定每个承包商只能且必须承包一个项目,试在总费用最小的条件下确定各个项目的承包者,总费用为多少?各承包商对工程的报价如表2所示: (15分) 项目 投标者 A B C D 甲 15 18 21 24 乙 19 23 22 18 丙 26 17 16 19 丁 19 21 23 17 答最优解为: X= 0 1 0 0 1 0 0 0 0 0 1 0 0 0 0 1 总费用为50 4. 考虑如下线性规划问题(24分) B 1 B 2 B 3 产量/t A 1 15 15 A 2 11 11 A 3 18 1 1 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 5 13 0 15 A 2 -2 0 0 11 A 3 0 0 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 15 15 A 2 11 11 A 3 7 12 1 20 销量/t 18 12 16 B 1 B 2 B 3 产量/t A 1 5 13 0 15 A 2 0 2 2 11 A 3 0 0 0 20 销量/t 18 12 16

分析化学第7章课后习题答案概要

第七章氧化还原滴定 1.条件电位和标准电位有什么不同?影响电位的外界因素有哪些? 答:标准电极电位E′是指在一定温度条件下(通常为25℃)半反应中各物质都处于标准状态,即离子、分子的浓度(严格讲应该是活度)都是1mol/l(或其比值为1)(如反应中有气体物质,则其分压等于1.013×105Pa,固体物质的活度为1)时相对于标准氢电极的电极电位。 电对的条件电极电位(E0f)是当半反应中氧化型和还原型的浓度都为1或浓度比为,并且溶液中其它组分的浓度都已确知时,该电对相对于标准氢电极电位(且校正了各种外界因素影响后的实际电极电位,它在条件不变时为一常数)。由上可知,显然条件电位是考虑了外界的各种影响,进行了校正。而标准电极电位则没有校正外界的各种外界的各种因素。 影响条件电位的外界因素有以下3个方面; (1)配位效应; (2)沉淀效应; (3)酸浓度。 2.是否平衡常数大的氧化还原反应就能应用于氧化还原中?为什么? 答:一般讲,两电对的标准电位大于0.4V(K>106),这样的氧化还原反应,可以用于滴定分析。 实际上,当外界条件(例如介质浓度变化、酸度等)改变时,电对的标准电位是要改变的,因此,只要能创造一个适当的外界条件,使两电对的电极电位超过0.4V ,那么这样的氧化还原反应也能应用于滴定分析。但是并不是平衡常数大的氧化还原反应都能应用于氧化还原滴定中。因为有的反应K虽然很大,但反应速度太慢,亦不符合滴定分析的要求。 3.影响氧化还原反应速率的主要因素有哪些? 答:影响氧化还原反应速度的主要因素有以下几个方面:1)反应物的浓度;2)温度;3)催化反应和诱导反应。 4.常用氧化还原滴定法有哪几类?这些方法的基本反应是什么? 答:1)高锰酸钾法.2MnO4+5H2O2+6H+==2Mn2++5O2↑+8H2O. MnO2+H2C2O4+2H+==Mn2++2CO2+2H2O 2) 重铬酸甲法. Cr2O72-+14H++Fe2+===2Cr3++Fe3++7H2O CH3OH+Cr2O72-+8H+===CO2↑+2Cr3++6H2O 3)碘量法3I2+6HO-===IO3-+3H2O, 2S2O32-+I2===2I-+2H2O Cr2O72-+6I-+14H+===3I2+3Cr3++7H2O 5.应用于氧化还原滴定法的反应具备什么条件? 答:应用于氧化还原滴定法的反应,必须具备以下几个主要条件: (1)反应平衡常数必须大于106,即△E>0.4V。 (2)反应迅速,且没有副反应发生,反应要完全,且有一定的计量关系。 (3)参加反应的物质必须具有氧化性和还原性或能与还原剂或氧化剂生成沉淀的物质。 (4)应有适当的指示剂确定终点。 6.化学计量点在滴定曲线上的位置与氧化剂和还原剂的电子转移数有什么关系? 答:氧化还原滴定曲线中突跃范围的长短和氧化剂与还原剂两电对的条件电位(或标准电位)相差的大小有关。电位差△E较大,突跃较长,一般讲,两个电对的条件电位或标准电位之差大于0.20V时,突跃范围才明显,才有可能进行滴定,△E值大于0.40V时,可选用氧化还原指示剂(当然也可以用电位法)指示滴定终点。 当氧化剂和还原剂两个半电池反应中,转移的电子数相等,即n1=n2时,则化学计量点的位

课程设计题目.doc

题目一 题目:广告公司网络的设计 1.基本背景描述 某广告公司现有分公司1(50台pc)和分公司2(40台pc),分公司1和分公司2都拥有各自独立的部门。分公司1和分公司2包括:策划部、市场部、设计部。为提高办公效率,该广告公司决定建立一个内部网络。 该广告公司内部使用私有IP地址192.168.160.0/23,要求该广告公司的分公司1和分公司2之间使用路由器进行连接(不使用vpn技术),使用动态的路由协议(RIP)。分公司1和分公司2内部通过划分vlan技术,使不同的部门在不同的局域网内。 2.方案设计 写题为“广告公司的网络解决方案”的网络方案设计书。包括: ①完整的校园网络拓扑图(网络拓扑图要求使用visio工具进行设计绘制); ②结合网络拓扑图进行IP地址的规划; ③分公司1的VLAN的设计与规划。 ④分公司2的VLAN的设计与规划。 ⑤分公司1和分公司2的网络互连互通。 设计内容及工作量 1、写题为“广告公司的网络解决方案”的网络方案设计书。要求画出完整的企业网络拓扑图(网络拓扑图要求使用visio工具进行设计绘制)。 2、结合网络拓扑图进行IP地址的规划,要求通过表格的形式体现。 3、按照任务书的具体要求书写相应的设计书及实现的过程纪录。 题目二 某学院有1900台个人计算机,50台服务器,其中办公用计算机60台,教学用计算机60台,科研用计算机120台,研究生计算机200台。其余为学生实验电脑。 分配的IP地址为: 服务器:172.16.1.1—172.16.1.61/26 网关为:172.16.1.62/26 个人计算机:192.168.0.0—192.168.7.255 学院现在三层交换机6台,每台三层交换机可划VLAN(虚拟局域网)个数为100。24口二层交换机若干台。 1.请为学院的全部计算机分配IP地址,并使用上述设备为学院设计网络。 2.要求: a.画出网络拓扑图。 b.给出每个网段的IP范围,子网掩码,默认网关。 c.为三层交换机规划VLAN。给每个VLAN接口分配IP地址。 d.做好三层交换机之间的路由设计(可使用静态路由和RIP)

《燃料与燃烧》部分习题答案

《燃料与燃烧》习题解答 第一篇 燃料概论 1. 某种煤的工业分析为:M ar =3.84, A d =10.35, V daf =41.02, 试计算它的收到基、干燥基、干燥无灰基的工业分析组成。 解:干燥无灰基的计算:0 2.41=daf V 98.58100=-=daf daf V Fc ; 收到基的计算 ar ar ar ar V M A FC ---=100 36.35100 100=--? =ar ar daf ar A M V V A ar = 9.95 FC ar = 50.85 干燥基的计算: 35.10=d A V d = 36.77; 88.52100=--=d d d A V FC 2. 某种烟煤成分为: C daf =83.21 H daf =5.87 O daf =5.22 N daf =1.90 A d =8.68 M ar =4.0; 试计算各基准下的化学组成。 解:干燥无灰基:80.3100=----=daf daf daf daf daf N O H C S 收到基: 33.8100 100=-? =ar d ar M A A 95.72100 100=--?=ar ar daf ar M A C C H ar =5.15 O ar =4.58 N ar =1.67 S ar =3.33 M ar =4.0 干燥基: 68.8=d A 99.75100 100=-? =d daf d A C C 36.5913.0=?=daf d H H 77.4913.0=?=daf d O O N d = N daf ×0.913 =1.74 47.3913.0=?=daf d S S 干燥无灰基:C daf =83.21 H daf =5.87 O daf =5.22 N daf =1.90 S daf =3.80 3. 人工煤气收到基组成如下:

运筹学试题及答案汇总

3)若问题中 x2 列的系数变为(3,2)T,问最优解是否有变化; 4)c2 由 1 变为 2,是否影响最优解,如有影响,将新的解求出。 Cj CB 0 0 Cj-Zj 0 4 Cj-Zj 3 4 Cj-Zj 最优解为 X1=1/3,X3=7/5,Z=33/5 2对偶问题为Minw=9y1+8y2 6y1+3y2≥3 3y1+4y2≥1 5y1+5y2≥4 y1,y2≥0 对偶问题最优解为 y1=1/5,y2=3/5 3 若问题中 x2 列的系数变为(3,2)T 则P2’=(1/3,1/5σ2=-4/5<0 所以对最优解没有影响 4)c2 由 1 变为2 σ2=-1<0 所以对最优解没有影响 7. 求如图所示的网络的最大流和最小截集(割集,每弧旁的数字是(cij , fij )。(10 分) V1 (9,5 (4,4 V3 (6,3 T 3 XB X4 X5 b 9 8 X1 6 3 3 X4 X3 1 8/5 3 3/5 3/5 X1 X3 1/3 7/5 1 0 0 1 X2 3 4 1 -1 4/5 -11/5 -1/3 1 - 2 4 X 3 5 5 4 0 1 0 0 1 0 0 X4 1 0 0 1 0 0 1/3 -1/ 5 -1/5 0 X5 0 1 0 -1 1/5 -4/5 -1/3 2/5 -3/5 VS (3,1 (3,0 (4,1 Vt (5,3 V2 解: (5,4 (7,5 V4 V1 (9,7 (4,4 V3 (6,4 (3,2 Vs (5,4 (4,0 Vt (7,7 6/9 V2 最大流=11 (5,5 V4 8. 某厂Ⅰ、Ⅱ、Ⅲ三种产品分别经过 A、B、C 三种设备加工。已知生产单位各种产品所需的设备台时,设备的现有加工能力及每件产品的预期利润见表:ⅠⅡⅢ设备能力(台.h A 1 1 1 100 B 10 4 5 600 C 2 2 6 300 单

数据结构课程设计题目选择

数据结构课程设计题目 说明: (1)选用语言:C或Java语言; (2)需要注明3人(可少于3人)小组各自承担和完成的任务(据此给予成绩); (3)如下带“*”的题目,“*”越多,难度越大一些,分值权重更高---要得到更高分数,推荐选择。 要求: (1) 用中文给出设计说明书(含重要子函数的流程图); (2) 给出测试通过、能实现相应功能的源代码; (3) 测试报告。 0、小学数学四则混合运算试题出题、评价、题库自动生成与组卷系统(****)---已经有2组选择 任务: (1)将随机给出的四则混合运算表达式显示在计算机显示器上,要求应试者给出答案;并且使用堆栈对该表达式求值,同给出的答案进行比较,判断 正确和错误。给出鼓励信息和嘉奖信息; (2)保存多人在不同时间应试的题目与他(或她)给出的答案,评价所出题目的难易程度(通过多人回答正确与否的情况给出),形成题库; (3)按照用户给出的题目难易程度指标(例如让50人的得分满足怎样的正态分布,如90分以上10%,80分以上30%,70分以上30%,60分以上20%,60分 以下10%),从题库中抽取不同的题目,组成试卷。 要求:随机产生的题目中,参加运算的数据随机、运算符随机。题目涉及加减乘除,带括弧的混合运算;随时可以退出;保留历史分数,能回顾历史,给出与历史分数比较后的评价。 1、集合的并、交和差运算---已经有1组选择 任务:编制一个能演示执行集合的并、交和差运算的程序。 要求: (1) 集合的元素限定为小写字母字符[…a?..?z?] 。 (2) 演示程序以用户和计算机的对话方式执行。 实现提示:以链表表示集合。 选作内容: (1) 集合的元素判定和子集判定运算。 (2) 求集合的补集。 (3) 集合的混合运算表达式求值。 (4) 集合的元素类型推广到其他类型,甚至任意类型。 2、停车场管理------已经有2组选择 任务:设停车场是一个可以停放n辆汽车的狭长通道,且只有一个大门可供汽车进出。汽车在停车场内按车辆到达时间的先后顺序,依次有北向南排列(大门在最南端,最先到达的第一车停放在车场的最北端),若车场内已停满n辆车,那么后来的车只能在门外的便道上等候,一旦有车开走,则排在便道上的第一辆车即可开入;当停车场内某辆车要离开时,在它之后进入的车辆必须先退出车场为它让路,待该辆车开出大门外,其他车辆再按原次序进入车场,每辆停放在车场的车在它离开停车场时必须按它停留的时间长短交纳费用。试为停车场编制按上述要求进行管理的模拟程序。 要求:以栈模拟停车场,以队列模拟车场外的便道。每一组输入数据包括三个数据项:汽车“到达”或“离去”信息、汽车牌照号码以及到达或离去的时刻。对每一组输入数据进行操作后的输出信息为:若是车辆到达,则输出汽车在停车场内或便道上的停车位置;若是车辆离去,则输出汽车在停车场内停留的时间和应交纳的费用(在便道上停车不收费)。栈以顺序存储结构实现,队列以链表结构实现。 3、哈夫曼码的编/译码系统(**)---已经有1组选择

部分思考与练习题解答

部分思考与练习题答案 第一章 1、试说明低压电器适用的电压范围? 低压电器,通常指工作在交流电压小于1200V ,直流电压小于1500V 的电路中起通断、保护、控制或调节作用的电器设备 2、试说明刀开关的适用范围? 刀开关主要用于接通和切断长期工作设备的电源及不经常启动及制动、容量小于7.5kW 的异步电动机。 3、试说出转换开关、行程开关和按钮的区别?并画出它们的图形符号与文字符号。 转换开关常用于交流380V 以下、直流220V 以下的电气线路中,供手动不频繁地接通或分断电路,可控制小容量交、直流电动机。一般控制的异步电动机容量小于5kW ,每小时接通次数不超过15-20次。 (a)组合开关作隔离开关(b) 组合开关作转换开关 或Q S L L L 行程开关又称限位开关,是一种利用生产机械某些运动部件的碰撞来发出控制命令的主令电器。用于控制生产机械的运动方向、速度、行程大小或位置的一种自动控制器件。

常开触头 (动合触点)常闭触头(动断触点)复式触头(动合及动断触点) S Q S Q S Q 按钮是一种结构简单使用广泛的手动电器,在控制电路中用于手 动发出控制信号以控制接触器、继电器等。 4、试说明按钮的顔色各代表什么含义? 按钮颜色及其含义颜色 含义典型应用红色危险情况下的操作 紧急停止停止或分断停止一台或多台电动机,停止一台机器的一部分, 使电器元件失电 黄色应急或干预抑制不正常情况或中断不理想的工作周期 绿色启动或接通启动一台或多台电动机,启动一台机器的一部分, 使电器元件得电 蓝色上述几种颜色未包括的任一种功能- 黑色、灰 色、白色无专门指定功能 可用于停止和分断上述以外的任何情况5、试说明按钮开关的工作原理,其与电磁式接触器工作原理有何异同? 按钮一般由按钮帽、复位弹簧、触头和外壳等部分组成。当按下按钮时,先断开常闭触头,再接通常开触头。按钮放开后,在复位弹簧的作用下触头复位。

分析化学课后习题答案 第七章

第七章重量分析法和沉淀滴定法 思考题 1.沉淀形式和称量形式有何区别试举例说明之。 答:在重量分析法中,沉淀是经过烘干或灼烧后再称量的。沉淀形式是被测物与沉淀剂反应生成的沉淀物质,称量形式是沉淀经过烘干或灼烧后能够进行称量的物质。有些情况下,由于在烘干或灼烧过程中可能发生化学变化,使沉淀转化为另一物质。故沉淀形式和称量形式可以相同,也可以不相同。例如:BaSO4,其沉淀形式和称量形式相同,而在测定Mg2+时,沉淀形式是MgNH4PO4·6H2O,灼烧后所得的称量形式却是Mg2P2O7。 2.为了使沉淀定量完全,必须加人过量沉淀剂,为什么又不能过量太多 答:在重量分析法中,为使沉淀完全,常加入过量的沉淀剂,这样可以利用共同离子效应来降低沉淀的溶解度。沉淀剂过量的程度,应根据沉淀剂的性质来确定。若沉淀剂不易挥发,应过量20%~50%;若沉淀剂易挥发,则可过量多些,甚至过量100%。但沉淀剂不能过量太多,否则可能发生盐效应、配位效应等,反而使沉淀的溶解度增大。 3.影响沉淀溶解度的因素有哪些它们是怎样发生影响的在分析工作中,对于复杂的情况,应如何考虑主要影响因素 答:影响沉淀溶解度的因素有:共同离子效应,盐效应,酸效应,配位效应,温度,溶剂,沉淀颗粒大小和结构等。共同离子效应能够降低沉淀的溶解度;盐效应通过改变溶液的离子强度使沉淀的溶解度增加;酸效应是由于溶液中H+浓度的大小对弱酸、多元酸或难溶酸离解平衡的影响来影响沉淀的溶解度。若沉淀是强酸盐,如BaSO4,AgCl等,其溶解度受酸度影响不大,若沉淀是弱酸或多元酸盐[如CaC2O4、Ca3(PO4)2]或难溶酸(如硅酸、钨酸)以及与有机沉淀剂形成的沉淀,则酸效应就很显着。除沉淀是难溶酸外,其他沉淀的溶解度往往随着溶液酸度的增加而增加;配位效应是配位剂与生成沉淀的离子形成配合物,是沉淀的溶解度增大的现象。因为溶解是一吸热过程,所以绝大多数沉淀的溶解度岁温度的升高而增大。同一沉淀,在相同质量时,颗粒越小,沉淀结构越不稳定,其溶解度越大,反之亦反。综上所述,在进行沉淀反应时,对无配位反应的强酸盐沉淀,应主要考虑共同离子效应和盐效应;对弱酸盐或难溶酸盐,多数情况应主要考虑酸效应,在有配位反应,尤其在能形成较稳定的配合物,而沉淀的溶解度又不太大时,则应主要考虑配位效应。 4.共沉淀和后沉淀区别何在它们是怎样发生的对重量分析有什么不良影响在分析化学中什么情况下需要利用共沉淀 答:当一种难溶物质从溶液中沉淀析出时,溶液中的某些可溶性杂质会被沉淀带下来而混杂于沉淀中,这种现象为共沉淀,其产生的原因是表面吸附、形成混晶、吸留和包藏等。后沉淀是由于沉淀速度的差异,而在已形成的沉淀上形成第二种不溶性物质,这种情况大多数发生在特定组分形成稳定的过饱和溶液中。无论是共沉淀还是后沉淀,它们都会在沉淀中引入杂质,对重量分析产生误差。但有时候利用共沉淀可以富集分离溶液中的某些微量成分。 5.在测定Ba2+时,如果BaSO4中有少量BaCl2共沉淀,测定结果将偏高还是偏低如有Na2S04、Fe2(SO4)3、BaCrO4共沉淀,它们对测定结果有何影响如果测定S042-时,BaSO4中带有少量BaCl2、Na2S04、BaCrO4、Fe2(S04)3,对测定结果又分别有何影响 答:如果BaSO4中有少量BaCl2共沉淀,测定结果将偏低,因为M BaO<M BaSO4。如有Na2S04、Fe2(SO4)3、BaCrO4共沉淀,测定结果偏高。如果测定S042-时,BaSO4中带有少量BaCl2、Na2S04、BaCrO4、Fe2(S04)3,对测定结果的影响是BaCl2偏高、Na2S04偏低、BaCrO4偏高、Fe2(S04)3偏低。 6.沉淀是怎样形成的形成沉淀的性状主要与哪些因素有关其中哪些因素主要由沉淀本质决定哪些因素与沉淀条件有关

《数据库原理课程设计》题目

《数据库原理课程设计》任务书 《数据库原理课程设计》是一门独立的实践课程,学分为1,单独记成绩。通过本课程设计,能使学生较好地巩固数据库的基本概念、基本原理、关系数据库的设计理论和设计方法等主要相关知识点,针对实际问题设计概念模型,并应用现有的工具完成简单的数据库应用系统的设计与实现。 一、设计内容 完成一个数据库应用系统的设计全过程,包括需求分析、数据库设计、数据库建立、数据输入、应用系统的设计和开发、用户界面的设计和实现、系统安装和调试等。 学生以2~3人为一设计小组,从课程设计题目中选择一个题目,要求每班中各组的题目都不相同。二、设计环境 操作系统:Windows XP/2003/Vista/Win7/Win8; DBMS:SQL Server或MySQL等; 开发工具:JA V A、JSP、C#等。 三、设计步骤 1、需求分析 业务流程分析和功能分析。 2、概念结构设计 生成基本E-R图。 3、逻辑结构设计 关系表设计(主键与完整性约束)、范式分析(至少要达到3NF)。 4、功能模块实现、集成及调试 应用系统功能通常根据实际目标设定,一般应具有基本的增、删、改、查功能。 编写实现系统功能的界面和代码。 5、报告编写。 四、报告内容 1、需求分析(功能分析、工作流图、数据流图、数据字典); 2、概念模型设计(E-R图); 3、逻辑设计(E-R模型转换为关系模式,指明所满足的范式并给出理由); 4、系统功能设计 5、功能模块实现(界面及源代码) 6、总结(设计过程中遇到的问题以及解决方法;课程学习及课程设计的体会)。 7、参考文献 五、报告格式 1、报告一律按A4纸打印,每人1份。 2、全班刻录在一张光盘上,每组一个文件夹,文件夹名为课程设计题目+(该组学生姓名),并在该文件夹中以每个同学的学号+姓名为名称建立子文件夹,子文件夹中是该同学的内容(包括:源代码、课程设计报告电子版)。 特别提示: 凡有抄袭现象一经发现,课程设计成绩均为不及格。

第二章习题答案与解答

第二章习题及解答 1. 简述网络信息资源的特点。 (1)分散性分布; (2)共享性与开放性; (3)数字化存储; (4)网络化传输。 2. 试比较全文搜索引擎、分类检索、元搜索引擎三种搜索引擎的不同之处。 全文搜索引擎是目前主流的搜索引擎,有计算机索引程序在互联网上自动检索网站网页,建立起数据库,收录网页较多,用户按搜索词进行检索,返回排序的结果。以谷歌、百度、必应等为代表。 分类检索,将人工搜集或用户提交的网站网页内容,将其网址分配到相关分类主题目录,形成分类树形结构索引。用户不需用关键词检索,只要根据网站提供的主题分类目录,层层点击进入,便可查到所需的网络信息资源。典型代表有Yahoo、新浪分类目录搜索、淘宝网的类目等。分类检索用于目标模糊、主题较宽泛、某专业网站或网页的查找,要求查准时选用; 元搜索引擎不是一种独立的搜索引擎,没有自己的计算机索引程序和索引数据库,是架构在许多其他搜索引擎之上的搜索引擎。在接受用户查询请求时,可以同时在其他多个搜索引擎中进行搜索,并将其他搜索引擎的检索结果经过处理后返回给用户。 3. 简述搜索引擎的工作原理。 搜索引擎的基本工作原理包括如下三个过程:首先,抓取,在互联网中发现、搜集网页信息;第二,建立索引,对信息进行提取和组织建立索引库;第三,搜索词处理和排序,由检索器根据用户输入的查询关键字,在索引库中快速检出文档,进行文档与查询的相关度评价,对将要输出的结果进行排序,并将查询结果返回给用户。 4.简述常用的关键词高级检索功能。 常用的关键词高级检索功能应用包括:使用检索表达式搜索、使用高级搜索页、元词搜索。 使用检索表达式搜索分别有空格、双引号、使用加号、通配符、使用布尔检索等。 有时我们为了限制搜索范围、搜索时间、过滤关键字等,需要用到高级搜索页。 大多数搜索引擎都支持“元词”(metawords)功能。依据这类功能,用户把元词放在

运筹学例题解析

(一)线性规划建模与求解 B.样题:活力公司准备在5小时内生产甲、乙两种产品。甲、乙两种产品每生产1 单位分别消耗2小时、1小时。又根据市场需求信息,乙产品的产量应该至少是甲产品产量的3倍。已知甲、乙两种产品每销售1单位的利润分别为3百元和1百元。请问:在5小时内,甲、乙两种产品各生产多少单位,才能够使得总销售利润最大 要求:1、建立该问题的线性规划模型。 2、用图解法求出最优解和最大销售利润值,并写出解的判断依据。如果不存在最优解,也请说明理由。 解:1、(1)设定决策变量: 设甲、乙两种产品分别生产x 1 、x 2 单位 。 (2)目标函数: max z=2 x 1+x 2 (3)约束条件如下:1221 12 25..3,0+≤??≥??≥?x x s t x x x x 2、该问题中约束条件、目标函数、可行域和顶点见图1所示,其中可行域用阴影部分标记,不等式约束条件及变量约束要标出成立的方向,目标函数只须画出其中一条等值线, 结论:本题解的情形是: 无穷多最优解 ,理由: 目标函数等值线 z=2 x 1+x 2与约 束条件2 x 1+x 2≤5的边界平行 。甲、乙两种产品的最优产量分别为 (5,0)或(1,3)单位;最大销售利润值等于 5 百元。 (二)图论问题的建模与求解样题 A.正考样题(最短路问题的建模与求解,清华运筹学教材编写组第三版267-268页例 13)某企业使用一台设备,每年年初,企业都要做出决定,如果继续使用旧的,要付维修费;若购买一台新设备,要付购买费。但是变卖旧设备可以获得残值收入,连续使用1年、2年、3年、4年以上卖掉的设备残值分别为8万元、6万元、3万元和0万元。试制定一个5年的更新计划,使总支出最少。已知设备在各年的购买费与维修费如表2所示。要求:(1)建立某种图论模型;(2)求出最少总支出金额。

课程设计题目

课程设计题目

题目一 题目:广告公司网络的设计 1.基本背景描述 某广告公司现有分公司1(50台pc)和分公司2(40台pc),分公司1和分公司2都拥有各自独立的部门。分公司1和分公司2包括:策划部、市场部、设计部。为提高办公效率,该广告公司决定建立一个内部网络。 该广告公司内部使用私有IP地址192.168.160.0/23,要求该广告公司的分公司1和分公司2之间使用路由器进行连接(不使用vpn技术),使用动态的路由协议(RIP)。分公司1和分公司2内部通过划分vlan技术,使不同的部门在不同的局域网内。 2.方案设计 写题为“广告公司的网络解决方案”的网络方案设计书。包括: ①完整的校园网络拓扑图(网络拓扑图要求使用visio工具进行设计绘制); ②结合网络拓扑图进行IP地址的规划; ③分公司1的VLAN的设计与规划。 ④分公司2的VLAN的设计与规划。 ⑤分公司1和分公司2的网络互连互通。 设计内容及工作量 1、写题为“广告公司的网络解决方案”的网络方案设计书。要求画出完整的企业网络拓扑图(网络拓扑图要求使用visio工具进行设计绘制)。 2、结合网络拓扑图进行IP地址的规划,要求通过表格的形式体现。 3、按照任务书的具体要求书写相应的设计书及实现的过程纪录。 题目二 某学院有1900台个人计算机,50台服务器,其中办公用计算机60台,教学用计算机60台,科研用计算机120台,研究生计算机200台。其余为学生实验电脑。 分配的IP地址为: 服务器:172.16.1.1—172.16.1.61/26 网关为:172.16.1.62/26 个人计算机:192.168.0.0—192.168.7.255 学院现在三层交换机6台,每台三层交换机可划VLAN(虚拟局域网)个数为100。24口二层交换机若干台。 1.请为学院的全部计算机分配IP地址,并使用上述设备为学院设计网络。 2.要求: a.画出网络拓扑图。 b.给出每个网段的IP范围,子网掩码,默认网关。 c.为三层交换机规划VLAN。给每个VLAN接口分配IP地址。 d.做好三层交换机之间的路由设计(可使用静态路由和RIP)

运筹学例题及解答

运筹学例题及解答 一、市场对I、II两种产品的需求量为:产品I在1-4月每月需10000件,5-9月每月需30000件,10-12月每月需100000件;产品II在3-9月每月需15000件,其它月份每月需50000件。某厂生产这两种产品成本为:产品I在1-5月内生产每件5元,6-12月内生产每件4.50元;产品II在1-5月内生产每件8元,6-12月内生产每件7元。该厂每月生产两种产品能力总和应不超过120000件。产品I容积每件0.2立方米,产品II容积每件0.4立方米,而该厂仓库容积为15000立方米,要求:(a)说明上述问题无可行解;(b)若该厂仓库不足时,可从外厂借。若占用本厂每月每平方米库容需1元,而租用外厂仓库时上述费用增加为1.5元,试问在满足市场需求情况下,该厂应如何安排生产,使总的生产加库存费用为最少。 解:(a) 10-12月份需求总计:100000X3+50000X3=450000件,这三个月最多生产120000X3=360000件,所以10月初需要(450000-360000=90000件)的库存,超过该厂最大库存容量,所以无解。 ? ?(b)考虑到生产成本,库存费用和生产费用和生产能力,该厂10-12月份需求的不足只需在7-9月份生产出来库存就行, 则设xi第i个月生产的产品1的数量,yi第i个月生产的产品2 的数量,zi,wi分别为第i个月末1,2的库存数s1i,s2i分别

为用于第i+1个月库存的原有及租借的仓库容量m3,可建立模型: Lingo 程序为 MODEL: sets: row/1..16/:; !这里n 为控制参数; col/1..7/:; AZ(row,col):b,x; endsets 1211 127777778 7887898998910910109101110111110111211min (4.57)( 1.5) 30000150003000015000300001500030000150003000015000.i i i i i i z x y s s x z y w x z z y w w x z z y w w x z z y w w x z z y w w st x z ===+++-=→-=+-=→+-=+-=→+-=+-=→+-=+-=→+-=+∑∑1211121100005000 120000(712)0.20.415000(712)0i i i i i i i y w x z i z w s s s i ?????????=→+=??+≤≤≤?+=+??≤≤≤???变量都大于等于

课程设计问答题库

电工电子综合课程设计问答题库 1、射极输出器输出电阻是多少?其电压放大倍数是多少? 2、差分放大电路可以抑制。 3、如果单相桥式整流电路输入电压的有效值为U2,则输出电压的平均值为,二极管截止时承受的反向电压的最大值为。 4、杂质半导体有型和型之分。 5、PN结最重要的特性是__________,它是一切半导体器件的基础。 6、放大电路中直流电源的作用是__________。 7、有偶数级共射电路组成的多级放大电路中,输入和输出电压的相位_________,有奇数级组成的多级放大电路中,输入和输出电压的相位__________。 8、电压负反馈稳定的输出量是__________;电流负反馈稳定的输出量是__________。 9、稳压二极管是利用二极管的__________特性工作的。 10、双极型三极管是控制器件,场效应管是控制 器件;结型场效应管的栅源极之间必须加偏置电压, 才能正常放大工作。 11、二极管两端电压大于电压时,二极管才导通。 击穿电压;死区;饱和。 12、当温度升高时,二极管的正向电压,反向饱和电 流。 增大;减小;不变;无法判定。 13、并联负反馈使放大电路输入电阻. 增加;不变;减小;不确定。

14、如果在NPN型三极管放大电路中测得发射结为正向偏置,集电结也为正向偏置,则此管的工作状态为 放大状态;截止状态;饱和状态;不能确定。 15、W78XX系和列W79XX系列引脚对应关系为。 一致; 1脚与3脚对调,2脚不变; 1、2对调。 16、从结构上来看,正弦振荡电路是一个。 有输入信号的负反馈放大器; 没有输入信号的带选频网络的正反馈放大器; 没有输入信号的不带选频网络的正反馈放大器。 17、对频率稳定度要求较高的振荡器,要采用:。 LC振荡电路; RC振荡电路; RL振荡电路;石英晶体振荡电路。 18、两级放大电路,Au1=-40,Au2=-50,若输入电压U1=5mv,则输出电压Uo为 -200mv; -250mV; 10V; 100V。 19、用万用表测得二极管的电阻很小,则红表笔相接的电极是二极管 的负极,黑表笔相接的电极是二极管的正极。() 20、放大器能将微弱的输入信号放大成能量较大的信号输出,因此说, BJT具有能量放大的作用。() 21、放大电路处于静态时,电路中的电流、电压均为直流;当它工作 在动态时,电路中的电流、电压则均为交流。() 22、在固定偏置电路的基础上,在BJT基极增加一个下偏置电阻RB2,就构成了分压偏置电路。()

相关主题
文本预览
相关文档 最新文档