当前位置:文档之家› 采用小规模器件设计同步时序电路

采用小规模器件设计同步时序电路

数字电路与逻辑设计

授课教师:陈东

电路与电子技术基础教学部

本次授课内容 —— 采用小规模器件设计同步时序电路

同步时序电路的特点

同步时序电路的设计步骤

同步时序电路的电路设计实例

同步时序电路的特点

同步时序电路是数字系统中使用最多的一类时序电路,其特点 是电路中的各触发器共用同一时钟,并在同一时刻进行各自状 态的转换。

异步时序电路:电路中各触发器的时钟可能不只一个, 并且其各自状态的转换不是同时进行的。

根据具体的逻辑问题要求,设计出满足这一要求的最简电路。

时序电路的设计应当力求所使用的器件数目最少,连线最少,即满足电路最简的要求。

设计题目

采用D触发器设计一个模值为六的同步计数器。设计过程:

1. 绘制状态转移图

状态转移表

2. 状态编码,列状态转移表

100

,110,111,011,001,000543210======S S S S S S 偏离状态

☆★ 010、101是两个偏

离状态,必须为它们指定

状态的转移方向,否则无

法保证电路能够自启动。

编码后的状态转移图:

3. 选择触发器,表达式化简

这里选择D触发器

利用卡诺图化简、推导状态转移方程:

n n Q Q 213=+n n Q Q 1

12=+

n n n n Q Q Q Q 1

2311+=+n n n Q Q Q Z 123=输出方程:驱动方程:?????+===n n n n n Q Q Q D Q D Q D 1231

1223?????+===+++n n n n n n n n Q Q Q Q Q Q Q Q 12311112213

状态转移方程:

4. 绘制逻辑电路图

同步时序电路是一类常用的时序逻辑电路,设计过程包括:画状态转移图、状态编码、列状态转移表、化简推导表达式(状态转移方程、驱动方程、输出方程),绘制逻辑电路图等步骤。

设计电路时需要特别注意偏离状态的处理,不加处理或处理不好会使设计出来的电路无法自启动,导致电路工作不可靠。

练习题目:

用J-K触发器设计模六可逆计数器,X=0做加法计数,X=1做减法计数。

同步时序电路的设计步骤

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

同步时序逻辑电路的习题 数字逻辑

第五章 同步时序逻辑电路的习题 一、基本知识点 1、时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、包含反馈电路,电路功能与“时序”相关 c 、输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1)Mealy 型 Z =F (X ,Q ) 输出是电路的输入和现态的函数(注意输出与输入有直接关系) (2)Moore 型 Z =F (Q ) 输出仅仅是电路现态的函数(注意输出与输入没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制,输入信号的变化将直接引起电路状态的变化。 //本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用3组函数表达式完整地描述。 (1)激励函数表达式:存储电路输入Y 与电路输入X 和现态Q 之间的关系 Y =F (X ,Q ) //现态Q 就是上图存储电路原始的输出y k (2)次态函数表达式:电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1=F (Y ,Q ) //次态Q n+1就是上图存储电路再次触发后的输出y k n+1 (3)输出函数表达式:电路的输出Z 和输入X 和当前现态Q 的关系 Mealy 型 Z =F (X ,Q ) Moore 型 Z =F (Q ) 输入信号 输出信号 X 1 X 2 X n Z 1 Z 2 Z m y s 过去输入 现态 现在输入 } 输出 输出 所有输入 现态

时序电路的基本分析与设计方法

时序电路的基本分析与设计方法

时序逻辑电路 时序逻辑电路——电路任何一个时刻的输出状态不但取决于当时的输入信号,还与电路的原状态有关。时序电路中必须含有具有记忆能力的存储器件。 时序电路的逻辑功能可用逻辑表示式、状态表、卡诺图、状态图、时序图和逻辑图6种方式表示,这些表示方法在本质上是相同的,能够互相转换。 一、时序电路的基本分析和设计方法 (一)分析步骤 1.根据给定的时序电路图写出下列各逻辑方程式: (1)各触发器的时钟方程。(2)时序电路的输出方程。(3)各触发器的驱动方程。 2.将驱动方程代入相应触发器的特性方程,求得各触发器的次态方程,也就是时序逻辑电路的状态方程。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态图或时序图。 4.根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。【例1】分析时序电路

(1)时钟方程:CP CP CP CP ===012 输出方程:n n Q Q Y 21= 驱动方程:?? ? ??======n n n n n n Q K Q J Q K Q J Q K Q J 202001011212 (2)求状态方程 JK 触发器的特性方程:n n n Q K Q J Q +=+1 将各触发器的驱动方程代入,即得电路的状态方程: ?? ???=+=+==+=+==+=+=+++n n n n n n n n n n n n n n n n n n n n n n n n Q Q Q Q Q Q K Q J Q Q Q Q Q Q Q K Q J Q Q Q Q Q Q Q K Q J Q 202020000100101011111112121222212 (3)计算、列状态表 n n n n n n n n Q Q Y Q Q Q Q Q Q 2 12 100 1 1112=?????===+++ (4)画状态图及时序图

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

时序电路设计实验

一、设计任务与要求 1. 彩灯电路,循环速度肉眼可辨。 2. 可实现2灯循环,3灯循环,…,8灯循环。最少6灯,可扩展成可逆循环。 3. 要求有功能扩展。 二、总体电路设计 1.原理框图 2. 整体设计电路图

3.电路整体分析 本电路大体可分为四部分:时钟信号部分、编译码部分、移位寄存器和彩灯显示部分。555芯片及74LS193产生移位所需时钟并通过分频控制彩灯移动速度,编译码部分控制循环彩灯的个数,移位寄存器74LS194控制彩灯的循环移动,最终通过彩灯显示。 功能实现说明: (1)通过电位器和频率选择开关J12、J13、J14控制彩灯循环移动速度 (2)J1、J2分别接高电平和与非门输出,并把J5与LED8连通,循环右移,拨码开关低(断开)有效,从D0到D7依次实现1-8灯循环, 并且多灯的优先级高于少灯优先级。 (3)J1、J2分别接通与非门输出和高电平,并把J5与LED0连通,循环左移,拨码开关低(断开)有效,从D7到D0依次实现1-8灯循环 并且少灯的优先级高于多灯优先级。 (4)J1、J2都接高电平时置位显示开关状态 (5)J1、J2都接反相器输出时状态保持,相当于暂停循环各部分电路具体的功能实现将在下面讲解。 4. 元件清单

拨码开关×1、拨动开关×6、按键开关×1 八位300Ω排阻×2 555芯片×1 8—3线优先编码器74LS148×1 3-8译码器74LS138×1 16进制计数器74LS193×1 反相器74LS04×1 LED彩灯×16 电阻100k×2、电位器100k×1 电容0.1uF 0.33uF 0.01uF各一 导线等若干 三、单元电路分析 1. 时钟信号部分 (1)555电路构成的多谐振荡器

同步时序逻辑电路分析与设计

“电工学(二)数字逻辑电路”课程实验报告 实验/实训项目同步时序逻辑电路分析与设计 实验/实训地点 实验/实训小组 实验/实训时间 专业电器工程及其自动化 班级 姓名 学号 指导老师

过程、步骤、代一、实验原理 1. 集成计数器74LS290功能测试。 74LS290是二一五一十进制异步计数器,逻辑简图为图5.1所示。 74LS290具有下述功能: 直接置0(R 0(1),R 0(2)=1),直接置(S 0(1),S 0(2)=1) 二进制计数(CP 1输入Q A 输出) 五进制计数(CP 1输入Q A Q B Q C 输出) 十进制计数(两种接法如图5.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表5.1、表5.2、表5.3中。 图5.1 74LS290逻辑图

图5.2 十进制计数器 2. 计数器级连 分别用2片74LS290计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到LED 数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法),可用74LS290组成任意(M )计数器,图5.3是用74LS290实现模7计数器的两种方案,图(A )采用复位法,即计到M 异步置0,图(B )采用置位法,即计数计到M-1异步置0。 表5.1 功能表 R 0(1) R 0(2) S 0(1) S 0(2) 输出 Q D Q G Q B Q A H H L X H H X L X X H H X L X L L X X L X L L X 表5.2 二一五混合时制 计数 输出 Q A Q D Q G Q B 0 1 2 3 4 5 6 7 8 9

Moore型同步时序逻辑电路的设计与分析

实验九Moore型同步时序逻辑电路的分析与设计 22920132203686 薛清文周2下午实验 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.D,JK触发器的特性机器检测方法。 2.掌握时序逻辑电路的测试方法。 3.了解时序电路自启动设计方法。 4.了解同步时序电路状态编码对电路优化作用。 二.实验原理: 二、 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

时序逻辑电路设计

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

实验十 Moore型同步时序逻辑电路的分析与设计

实验十 Moore型同步时序逻辑电路的分析与设计 一、实验目的 1.掌握同步时序逻辑电路的分析、设计方法; 2.掌握时序逻辑电路的测试方法。 二、实验原理 1.Moore型同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图,选择芯片,根据芯片管脚,在逻辑图上标明管脚号;大街电路后,根据电路要求输入时钟信号,要求出电路的状态转换图或时序图,从中分析出电路的功能。 2.Moore型同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态化简:确定等价状态,电路中的等价状态可合并为一个状态。 (3)重新确定电路状态数N,求出触发器数你n,触发器数按下列公式求:2n-1

(8)功能仿真、时序仿真。 3.同步时序逻辑电路的设计举例: 试用D触发器设421码模5加法计数器。 (1)分析题意:由于是模5 (421码)加法计数器,其状态转换图如图1所示: (2)状态转换化简:由题意得该电路无等价状态。 (3)确定触发器数:根据,2n-1

(8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的状态转换图,如图3所示,检查是否能自启动。 (9)画出逻辑图,如图4 所示。 三、实验仪器 1.示波器1台 2.函数信号发生器1台 3.数字万用表1台 4.多功能电路实验箱1台

时序电路设计

实验二时序电路设计 一. 实验内容 使用Verilog语言完成一个时序电路模块的RTL设计,并为这个模块设计一个简单的testbench 文件,并用ncverilog完成仿真过程,用拷屏的方法,完成WORD格式的实验报告,记录仿真结果的波形输出。 二. 时序电路模块设计要求 (1)滤波器电路设计 使用一个乘法器和一个加法器,设计完成一个五阶数字滤波器的设计,输入为串行数据X,复位信号RST,时钟信号CLK,使能信号EN,输出为串行数据信号Y,数据输出有效信号OEN。数字滤波器公式为: y(i) = a0+ a1*x(i-1)+ a2*x(i-2)+ a3*x(i-3)+ a4*x(i-4)+ a5*x(i-5) 其中: a0=0.08 a1=0.13 a2=0.23 a3=0.14 a4=0.16 a5=0.26 用Verilog语言为这个滤波器设计一个testbench,要求输入激励X为随机数据输入。(2)接口设计 为上述设计一个外部接口,可以通过该接口对滤波器的系数a0~ a5进行改写,在上述testbench基础上,完成滤波器系数配置的配置验证,系数配置如下: a0=0.20 a1=0.04 a2=0.08 a3=0.20 a4=0.16 a5=0.32 三. 实验过程 (1)分析滤波器程序需要的模块: 1)根据滤波器的公式,得知该程序需要一个乘法器模块2)i时刻输出与前5个时刻的输入有关,因此需要6个寄存器用来保存x输入的值3)i时刻输出完成了5次乘法5次加法,因此需要一个变频器产生一个5倍频的时钟4)需要定义五个状态,每个状态实现相应的乘法和加法操作。 (2)在给定a的5个值时出现一个问题,小数如何用二进制表示: 将滤波器公式左右同时乘以2的八次方,即所有的二进制数值左移八位,此时系数均四舍五入保留整数位,转换成二进制形式即可。将最终的计算结果高八位赋值给y即为滤波器的

时序电路设计举例

1.智能机器人能够识别并绕开障碍物,在充斥着障碍物的环境里自由行走。它的前端有一个接触传感器,当遇到障碍物时传感信号X=1,否则传感信号X=0。 它有两个控制信号Z1和Z0控制脚轮行走,Z1=1时控制机器人左转,Z0=1时控制机器人右转,Z1Z0=00时控制机器人直行。机器人遇到障碍物时的转向规则是:若上一次是左转,则这一次右转,直到未探测到障碍物时直行;若上一次是右转, 则这一次左转,直到未探测到障碍物时直行。试用D 触发器设计一个机器人控制器, 控制机器人的行走方式。 2.用JK 要求电路能够自启动。 3.设计一个序列检测器,(或三个以上)1时,序列检测器输出为1,否则输出0. 4.用D 触发器设计一个三位串行奇偶校验电路,当电路串行接收了三位二进制数,如果1的个数是偶数,在收到第三位数时,电路输出为1;其余情况下均为0。每三位二进制数为一组,在收到第三位数码后,电路返回初始状态,准备接收下一组数 5.用JK 触发器和门电路设计一个四位二进制数串行加法器,以实现最低位在前的两个串行二进制整数相加,输出为最低位在前的两数之和,其进位将寄存在串行加法器中,以便在下个cp 脉冲到来时与高一位的被加数及加数相加。 6.用隐含表化简法化简表1所示的原始状态表。并设计电路。 表1 7.对表2所示的最简状态表,提出一种合适的的状态分配方案, 列出其编码状态表,并设计电路。

表2 8.求出下表所示的激励函数和输出函数表达式,并画出电路。分别用D触发器J-K 9. “1111”序列检测器。当连续输入四个或四个以上的1时,电路输出为1;其它情况下电路输出为0。设计电路。 10.某序列检测器有一个输入X和一个输出Z,当收到的输入序列为“101”或“0110”时,在上述序列的最后一位到来时,输出Z=1,其它情况下Z=0,允许输入序列码重叠。试列出其原始状态表,并设计电路。 11.用d触发器设计模8计数器 12.用d触发器设计模10计数器,要求能自启动。

时序电路的设计及显示

实验二时序电路的设计及显示 一、实验目的: 1.了解教学系统中8位八段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以备后面实验调用。 2.会电路图输入方法和VHDL语言方法输入的混合使用。 二、硬件要求: 1.GW48EDA/SOPC+PK2实验系统。 三、实验内容及预习要求: 1.计数器(counter): 计数器(counter)是数字系统中常用的时序电路,因为计数是数字系统的基本操作之一。计数器在控制信号下计数,可以带复位和置位信号。因此,按照复位、置位与时钟信号是否同步可以将计数器分为同步计数器和异步计数器两种基本类型,每一种计数器又可以分为进行加计数和进行减计数两种。在VHDL描述中,加减计数用“+”和“-”表示即可。 (1)同步计数器: 同步计数器与其它同步时序电路一样,复位和置位信号都与时钟信号同步,在时钟沿跳变时进行复位和置位操作。例2-1为带时钟使能的同步4位二进制减法计数器的VHDL模型: count是一个带时钟使能的同步4位二进制减法计数器,计数范围F~0。每当时钟信号或者复位信号有跳变时激活进程。如果此时复位信号clr有效(高电平),计数器被复位,输出计数结果为0;如果复位信号无效(低电平),而时钟信号clk出现上升沿,并且计数器的计数使能控制信号en有效(高电平),则计数器count自动减1,实现减计数功能。图S2-1为带时钟使能的同步4位二进制减法计数器的仿真波形图:

图S2-1 带时钟使能的同步4位二进制减法计数器的仿真图形 引脚锁定: 工作模式:模式1 clk0:4HZ clk2: 8HZ clk0:4096HZ (2)异步计数器 同样的道理,异步计数器是指计数器的复位、置位与时钟不同步。例2-2为带时钟使能的异步4位二进制加法计数器的VHDL模型: counta是一个带时钟使能的异步4位二进制加法计数器,计数范围0~F。每当时钟信号或者复位信号有跳变时激活进程。如果此时复位信号clr有效(高电平),计数器被复位,输出计数结果为0;如果复位信号无效(低电平),而时钟信号clk出现上升沿,并且计数器的计数使能控制信号en有效(高电平),则计数器count自动加1,实现加计数功能。图S2-2为带时钟使能的异步4位二进制加法计数器的仿真波形图:

同步时序逻辑电路的分析

同步时序逻辑电路的分析 一.分析的目的:得出时序电路的逻辑功能。 二.分析的方法(步骤): 1、写方程式 (1)时钟方程:CP的逻辑式 (2)输出方程:时序电路输出逻辑表达式,它通常为现态的函数。 (3)驱动方程:各触发器输入端的逻辑表达式。 (4)状态方程:把驱动方程代入相应的触发器的特性方程,即可求出各个触发器次态输出的逻辑表达式。 2、列真值表; 3、画状态转换图; 4、画时序图; 5、逻辑功能说明:由状态表归纳说明给定的时序电路的逻辑功能; 6、检查电路能否自启动。 注意:常见时序电路: 1)计数器:同(异)步N进制加(减)法计数器。2)寄存器 三.时序逻辑电路中的几个概念说明

1.有效状态与有效循环 有效状态:在时序电路中,凡是被利用了的状态,都称为有效状态。 有效循环:在时序电路中,凡是有效状态形成的循环,都称为有效循环。 2.无效状态与无效循环 无效状态:在时序电路中,凡是没有被利用的状态,都叫无效状态。 无效循环:在时序电路中,如果无效状态形成了循环,那么这种循环就称为无效循环。 3.电路能自启动与不能自启动 能自启动:在时序电路中,虽然存在无效状态,但是它们没有形成循环,这样的时序电路叫能够自启动的时序电路。 不能自启动:在时序电路中,既有无效状态存在,且它们之间又形成了循环,这样的时序电路被称之为不能自启动的时序电路。在这种电路中,一旦因某种原因使循环进入无效循环,就再也回不到有效状态了,所以,再要正常工作也就不可能了。 四.同步时序电路的分析举例

例1 试分析如图所示的时序电路的逻辑功能 Y CP 解:(1)写方程式 时钟方程: CP CP CP CP ===210 输出方程: n n n Q Q Q Y 012= 驱动方程: n Q J 20= n Q K 20= n Q J 01= n Q K 01= n Q J 12= n Q K 12= 状态方程:把驱动方程分别代入特性方程 JK 触发器的特性方程:n n n Q K Q J Q +=+1 (6-2-4),得状态方程: n n n n n n n n Q Q Q Q Q Q K Q J Q 20202000010=+=+=+ () n n n n n n n n Q Q Q Q Q Q K Q J Q 010********=+=+=+ n n n n n n n n Q Q Q Q Q Q K Q J Q 12121222212=+=+=+ (2)列状态表 依次假设电路得现态n n n Q Q Q 012 ,代入状态方程式和输

时序逻辑电路设计

时序逻辑电路的设计 、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1 片74LS90和1 片与非门设计一个5 进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2. 实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“ 1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“ 1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000置, 0; (3)计数脉冲由CP0端输入,输出由Q0 端引出,即得二进制计数器; (4)计数脉冲由CP1 端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器; (5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2 :判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表;Step 3 :根据状态转换表,分离出各触发器输出量Q0~Q m(m=1、2、3, )、输出变量Y 的卡诺图并化简,得到各个触发器的状态方程;

时序逻辑电路的设计

时序逻辑电路的设计 班级 姓名 学号

实验四时序逻辑电路的设计 一、实验目的 1. 学习时序逻辑电路的分析方法和设计方法。 2. 熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法。 3. 提高调试数字电路的能力。 二、实验任务 利用实验盒中的计数器芯片74LS90、74LS161及其他器件设计并实现一个数字钟电路,具体要求如下: 1. 时间显示范围00:00~11:59; 2. 任何时刻可实现手动清零; 3. 实现整点报时功能,声响从54 分起、整点止,并要求报时声音清晰响亮。选择 学习机上的脉冲源做时钟信号;数码管LED4~LED1 显示小时和分钟;蜂鸣器做整点报时。 三、提高任务 1. 为数字钟电路设计对表调时功能。用学习机右侧数字键盘中的“START”按键作为 调时脉冲输入,其内部电路见图1。 图1 学习机上“START”按键的内部电路 2. 为数字钟电路设计一个上电自动清零电路,即通电后从00:00 开始计时。 四、实验说明 1. 了解芯片的引脚排列,特别注意74LS90 的电源和地引脚编号与其他芯片不同。 2. 学习机上的蜂鸣器BDC 为直流蜂鸣器:工作电压为3~12V 的直流电压。蜂鸣器 的工作电流约8~15mA。若门电路不能直接驱动蜂鸣器,可搭建图2 驱动电路。

图 2(a) 9011 引脚图 图 2(b )驱动电路 3. 学习机上的带译码器数码管 LED1~LED3 用作数字 钟的分个位、分十位和小时个位的显示。 不带译码器 LED4 作为小时的十位数字显示。小时十 位只需显示数字“0”和“1”,建议用图 4 电路连接方式 实现。其中: (1) 数码管公共端“com ”接学习机中的地“GND ”; (2) 数码管的b 、c 段接学习机中的5V ; (3) 数码管的a 、d 、e 和f 四段相互连接后,接到数字钟小时十位的输出端。 五、电路设计 1. 设计思路及工作原理 首先实现计时功能,分为分钟模块和小时模块,分钟模块用2片74LS90构成,其中一片接成十进制,输出端接到数码管上显示分钟的个位,并向下一级给出进位信号,另一片接成六进制,输出接到数码管上显示分钟的十位,并向下一级给出进位信号。小时模块用一片74LS161构成,由于小时的输出非一般,所以需要经过一系列门电路。 其次实现清零功能,由于3个芯片均有异步清零端,但有的为高电平,有的为低电平,所以只需将清零信号及反相后的信号分别接在清零端就行。 然后实现报时功能,只需将两片74LS90的输出端经过几个门电路即可实现54~59给出高电平。 再实现上电清零功能,只需在清零的开关和地之间并一个电容就行,这样上电后清零端给出低电平。 最后实现调时功能,加上一个控制电路很容易实现调时,但是也会出现思考题3中出现的问题,解决方案见下。 2. 电路性能测试结果。 能有效计时、报时、清零、调时,实现了要求的功能。 图 4 LED4 的连接图 3. 在实验中遇到的问题及解决方法。 主要问题有两个:一是调时时按一下跳很多次,开始时考虑的解决方法是仿照上电清零,在开关两端加电容,但是把实验盒中的电容用了个遍也没有解决问题,后来突然一下才想到可以用D 触发器的;二是开始时加上了蜂鸣器的驱动电路,后来发现其实芯片输出的电流是可以直接驱动蜂鸣器的。 4. 此次实验的收获。 学会了时序电路的设计和调试方法。

第五章同步时序逻辑电路的习题数字逻辑知识讲解

第五章同步时序逻辑电路的习题 一、基本知识点 1时序逻辑电路的一般结构 特点:a 、有存储电路(记忆元件);有组合电路(特殊时可没有) b 、 包含反馈电路,电路功能与“时序”相关 c 、 输出不仅与输入(X )有关,而且与存储状态(Y )有关 分类:(1) Mealy 型 Z = F ( X , Q ) 输出是电路的输入和现态的函数 (注意输出与输入有直接关系) 过去输入 --------- ?现态 1 -- ?- 输出 现在输入 (2) Moore 型 Z = F ( Q ) 输出仅仅是电路现态的函数 (注意输出与输入 没有直接关系) 同步时序逻辑电路:各触发器共用同一时钟信号,即电路中各触发器状态的转换时刻在 统一时钟信号控制下同步发生。 异步时序逻辑电路:电路没有统一的时钟信号对状态变化进行同步控制, 输入信号的变 化将直接引起电路状态的变化。 〃本课程将较少讨论异步时序逻辑电路 2、同步时序逻辑电路的描述 注意:任一个同步时序逻辑电路的结构和功能可用 3组函数表达式完整地描述。 (1) 激励函数表达式: 存储电路输入 Y 与电路输入X 和现态Q 之间的关系 Y = F (X , Q ) //现态Q 就是上图存储电路原始的输出 y k (2) 次态函数表达式: 电路的次态Q n+1与激励函数Y 和现态Q 之间关系 Q n+1 = F (Y , Q ) //次态Q n+1就是上图存储电路再次触发后的输出 y k n+1 (3) 输出函数表达式: 电路的输出Z 和输入X 和当前现态Q 的关系 输 入 信 号 X 2 X 1 y s X n 输 出 信 号 所有输入 *现态 ---------- ? 输出

简单组合电路和时序电路设计

简单组合电路设计 一、实验目的 熟悉MAX+PLUS II的VHDL文本设计流程全过程,学习简单组合电路设计,多层次电路设计、仿真和硬件测试。 二、实验内容1 首先按照5.4给出的步骤,利用MAX+PLUS II完成2选1多路选择器的文本编辑输入(max21a.vhd)和仿真测试等步骤,给出图5-17所示的仿真波形,最后在实验系统上进行硬件测试,实际验证本项设计的功能。 实验内容2,将5.4节的多路选择器看成是一个元件mux21a,利用元件例化语句描述图5-19,并将此文件放在同一目录E:\MUXFILE中。 按照VHDL文本输入设计方法初步的步骤,将P124的VHDL程序键入文本编辑框中。 然后设定PROJECT指定为E:\muxfile中mux21a.vhd为当前工程。 设定后运行程序

正确无误后开始仿真测试。 多路选择器mux21a的输入端口a和b分别输入时钟周期为50ns和200us的时变信号,如图:

得出的y的输出由a的高频信号变化而改变。 结论分析:当控制端s为高电平时,y的输出为b的低频率信号,而当s为低电平时,y的输出为a的高频率信号。 图中b的时变信号看上去像是一条直线,在于信号放得过大。 简单时序电路设计 一、实验目的: 熟悉MAX+PLUS II的VHDL文本设计过程,学习简单时序电路的设计、仿真和硬件测试。 二、实验内容 根据实验5-1的步骤和要求,设计触发器,给出程序设计、软件编译、仿真分析、硬件测试及实验过程。 三、实验步骤 根据例5-9,首先保存文件名为DFF1的VHDL文件,然后利用例5-9,

设定project后,运行

高速电路设计中时序计算方法与应用实例

高速电路设计中时序计算方法与应用实例 来源:互联网 1满足接收端芯片的建立,保持时间的必要性 在高速数字电路设计中,由于趋肤效应、临近干扰、电流高速变化等因素, 设计者不能 单纯地从数字电路的角度来审查自己的产品, 而要把信号看作不稳定的模拟信号。 采用频谱 分析仪对信号分析,可以发现,信号的高频谱线主要来自于信号的变化沿而不是信号频率。 例如一个1MHz 的信号,虽然时钟周期为 1微秒,但是如果其变化沿上升或下降时间为纳秒 级,则在频谱仪上可以观察到频率高达数百兆赫兹的谱线。 信号的边沿,因为边沿往往也就是信号频谱最高、最容易受到干扰的地方。 在同步设计中,数据的读取需要基于时钟采样,根据以上分析,为了得到稳定的数据, 时钟的采样点应该远离数据的变化沿。 图1是利用时钟CLK 的上升沿采样数据 DATA 勺示例。DATA 发生变化后,需要等待至少 SetuP 时间(建立时间)才能被采样,而采样之后,至少 不能发生变化。因此可以看出,器件的建立时间和保持时间的要求, 正是为了保证时钟的采 样点远离数据的变化沿。 如果在芯片的输入端不能满足这些要求, 那么芯片内部的逻辑将处 于非稳态,功能出现异常。 因此,电路设计者应该更加关注 Hold 时间(保持时间)之内 DATA DATA 卜 eerup f HokJ X 图1信号采样示例 图2源同步系统拓扑图

2时序分析中的关键参数 为了进行时序分析,需要从 datasheet (芯片手册)中提取以下关键参数: ? Freq :时钟频率,该参数取决于对芯片工作速率的要求。 ? Tcycle :时钟周期,根据时钟频率 Freq 的倒数求得。Tcycle=1/Freq. ? Teo :时钟到数据输出的延时。上文提到,输入数据需要采用时钟采样,而输出数据 同样也需要参考时钟,不过一般而言,相比时钟,输出的数据需要在芯片内延迟一段时间, 这个时间就称为Teo.该参数取决于芯片制造工艺。 ? TsetuP (min ):最小输入建立时间要求。 ? Thold ( min ):最小输入保持时间要求。 除以上五个参数外,时序分析中还需要如下经验参数: ? Vsig :信号传输速度。信号在电路上传输,传输速度约为 时序计算的目标是得到以下两个参数之间的关系: ? Tflight-data :数据信号在电路板上的走线延时。 ? Tflight-clk :时钟信号在电路板上的走线延时。 以上参数是进行时序分析的关键参数,对于普通的时序分析已经足够。 源同步系统的时序计算 源同步系统指数据和时钟是由同一个器件驱动发出的情况, 扑结构: 该系统的特点是,时钟和数据均由发送端器件发出, 在接收端,利用接收到的时钟信号 CLK 采样输入数据信号 DATA. 源同步系统的时序计算公式为: (式 2) 6英寸/纳秒。 F 图是常见的源同步系统拓 TCO ( max ) + ( Tflight-data - Tflight-clk )MAX + Tsetup ( min )Thold ( min )

时序电路的基本分析与设计方

时序逻辑电路 时序逻辑电路——电路任何一个时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关。时序电路中必须含有具有记忆能力的存储器件。 时序电路的逻辑功能可用逻辑表达式、状态表、卡诺图、状态图、时序图和逻辑图6种方式表示,这些表示方法在本质上是相同的,可以互相转换。 一、时序电路的基本分析和设计方法 (一)分析步骤 1.根据给定的时序电路图写出下列各逻辑方程式: (1)各触发器的时钟方程。(2)时序电路的输出方程。(3)各触发器的驱动方程。 2.将驱动方程代入相应触发器的特性方程,求得各触发器的次态方程,也就是时序逻辑电路的状态方程。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态图或时序图。 4.根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。 【例1】分析时序电路 (1)时钟方程:CP CP CP CP ===012 输出方程:n n Q Q Y 21= 驱动方程:?? ???======n n n n n n Q K Q J Q K Q J Q K Q J 202001011212 (2)求状态方程 JK 触发器的特性方程:n n n Q K Q J Q +=+1 将各触发器的驱动方程代入,即得电路的状态方程: ?????=+=+==+=+==+=+=+++n n n n n n n n n n n n n n n n n n n n n n n n Q Q Q Q Q Q K Q J Q Q Q Q Q Q Q K Q J Q Q Q Q Q Q Q K Q J Q 202020000100101011111112121222212

同步时序逻辑电路设计的教学方法

教师学科教案[ 20 – 20 学年度第__学期] 任教学科:_____________ 任教年级:_____________ 任教老师:_____________ xx市实验学校

同步时序逻辑电路设计的教学方法探讨 摘要:本文对“数字逻辑”课程中同步时序逻辑电路设计的教学方法进行了探讨,提出了根据二进制状态表导出激励函数的行之有效的简化方法及卡诺图的变换。 关键词:数字逻辑,同步时序逻辑电路,卡诺图 一、引言 作为功能部件级的逻辑电路设计的教学,难度最大的莫过于时序逻辑电路了。对于难点的教学,力求在讲述过程上有一个清晰的思路,教给学生一个简单有效的设计方法,尽量避免烦琐的推导和计算。本文就设计过程中的“由给定的二进制状态表确定触发器的激励函数和输出函数”的一个环节来说明这个问题。 二、根据二进制状态表求指定触发器激励表的简化方法 这个环节通常是用触发器的激励表来转换的。这种转换无疑对熟练激励表的应用有好处,但繁琐的转换工作增加了很多工作量,降低了设计工作的效率,不利于教学任务进度的完成。 例如,在给出的二进制状态表的情况下,用触发器的激励表的转换,求出选用J -K 触发器时的激励函数和输出函 数表达式就比较麻烦。 设二进制状态表如下 表1所示,J -K 触发器的 激励表如表2所示。 因为给出的状态表有4个状态,它需要2个J -K 触发器。 要求的激励函数有J 2、K 2、J 1、K 1等4个,一个输出函数1 个Z ,总共需要画5个卡诺图来求解。 由于输出函数与激励表无关,可直接根据状态表填出3 变量卡诺图求解: 观察输出函数Z 的卡诺图,它就是按状态表的行列顺序 直接填写的,具有很强的 直观性。根据这个卡诺图 可求出输出函数表达式 为: 112xy y y Z += 求激励函数J 2、K 2、 J 1、K 1的表达式则需要根 据状态表和激励表按步骤 填写。 一、求J 2、K 2时,在状态表中只保留y 2和y 2n+1的对应状态,求J 1、K 1时则保留y 1和

相关主题
文本预览
相关文档 最新文档