当前位置:文档之家› cool edit教程 00

cool edit教程 00

cool edit教程 00
cool edit教程 00

一、降噪处理

我们先做一个降噪的实例感觉一下它的功能。

说到降噪有好多种方法,大致上有采样、滤波、噪音门等几种。这当中效果最好的应该是采样降噪法。所以我们就先录取一段声音,再给它降噪。

Cool Edit 2000可以录入多种音源包括:话筒、录音机、CD播放机等。将这些设备与声卡连接好。然后,将录音电平调到适当位置,就可以准备录音了。

点击菜单File中的New,出现New waveform对话框,选择适当的录音声道(Channels)、分辨率(Resolution)和采样频率(Sample Rate)。一般使用Stereo、16-bit、44100Hz,这是用于CD音质的设置,效果已经不错了

点击Cool Edit 2000主窗口左下部的红色录音按

钮,开始录音,并播放CD音源。完成录音后,点击Cool Edit 2000主窗口左下部的Stop按钮。Cool Edit 2000窗口中将出现刚录制好的文件波形图。要播放它,点击Play按钮就可以了。

把波形放大后,将噪音区内波形最平稳且最长的一段选中(一般为没有音乐信号的间隔处)。

然后依次选取菜单里Transform项中的Noise

Reduction 命令,就会弹出Noise Reduction的工作界面

将界面中Snapshots in profile的参数改为800,

FFT Size的数值改为8192,Precision Factor的数值改为10,Smoothing

Amount的数值改为10左右,这样可以达到比较好的效果。

改好各参数后,点击Get Profile from Selection按钮,几秒后出现噪音样本的轮廓图

关闭窗口,可以听听效果,噪音是不是没有了?不满意就再调调参数,因为音源与录音设备不同,所以需要反复的调试才能达到一个十分满意的效果。

二、自制卡拉OK伴奏带

下面一个实例是自制卡拉OK伴奏带。你是否曾经遇到过自己十分喜欢的歌,但是又找不到卡拉OK伴奏带呢?现在有了Cool Edit 2000帮你,你就不会再为找不到伴奏带而烦恼了!我们可以自制伴奏带。

首先我建议大家安装一个软件,叫豪杰超级音乐工作室。它不仅可以抓取音轨,还可以互相转换多种格式的音频文件,我们这里需要.wav 格式的文件。运行数字CD抓轨一项,光驱中的曲目就会显示在菜单上方,挑选你要转的曲目转换即可

在Cool Edit 2000中调入转好的文件,选择菜单Transform项中的Amplitude里Channel Mixer项,在弹出的对话框中选择原厂预设参数中的VocalCut一项

然后关闭对话框听一下效果,这时你会觉得人声几乎没了,但听一会儿你会发觉似乎缺少了什么。没错,一些乐器的声音也被消掉了。那

么只有从原曲中抽取这部分内容了(别忘了在下一步之前先把刚才做好的存一下盘)。

将源文件重新打开,选择菜单Filters项中的Graphic Equalizer项(是Cool Edit 2000的插件)。为了更精确的调节频段,请将视窗切换为30段均衡视窗。

然后调整增益范围,图中正负45dB,中间的10个增益控制基本上就是人声的频率范围。我们将人声覆盖的频段衰减至最小,边调节,边监听,直到人声几乎没有就可以了

按下Switch Mulititrack Mixer View钮,打开CoolEdit Pro的多轨编辑视窗。

将时间指针停在开始处,以便于多轨音频对齐。

在第一轨中按鼠标右键点击Insert项中的Wave from File,选择第1步中处理好的消除人声后的音频文件插入第一轨,再在第二轨中按鼠标右键插入均衡处理后的音频文件

插好之后,播放听一下,是不是还可以?不行的话,就分别调大这两轨的音量

最后,如果满意了,那么在Edit菜单中选择Mix Down to Track项中的All Waves,将两轨混合输出为另一个文件。等混音结束后,将它存盘即可使用了

不过用这种方法,不可能完全的消除人声,若是完全的消除人声,所付出的也是音乐失真的代价。不过你在演唱时,你的声音完全可以盖住没消干净的原声,也就没问题了!

三、添加音效

其实在Cool Edit 2000中最激动人心的功能莫过于可以随意添加音效。在Cool Edit 2000的菜单Transfrm下,有20个子菜单,通过它们,用户可以方便地制作出各种专业、迷人的声音效果。如Reverb(余音),可以产生音乐大厅的环境效果;Dynamics Processing(动态处理),可以根据录音电平动态调整输出电平;Time/Pitch(时间/音调),能够在不影响声音质量的情况下,改变乐曲音调或节拍等等。这些功能就有待你的开发了!

音调调整。在这里,你可以对你的声音做一些润色。例如,你的音调较低沉,可以提升高音使它更清晰;如果声调偏高,可将它调整的柔和悦耳。依你所需,选取波形局部或全部,用菜单effect/filters/graphic equalizer打开图示均衡器对话框,如图5。对话框的标签有三个,分别是10、20、30段的图示均衡器,够专业的吧,任选其一,做适当的调整,单击预览按钮试听效果,可边听边调整。满意后,单击确定按钮。注意,为了提高运行与预览的速度,你可以只选一小部分波形来操作。但是,必须做如下步骤以作用于全部波形:1、按工具栏撤销按钮取消刚才的调整作用;2、选取全部波形,按F2(“重做”的快捷键),按回车。那么,第“1”步骤的作用是为什么呢?不能对那

部分波形做两次处理啊,因此,要撤销它。除了降噪可勉强做两次,其余效果千万不能这样做。

美化声音。通过以上的几步,声音已经很清晰了,可你一定觉得还是那样干巴巴的不好听。在这儿,将是CEP的精华所在。它居然有超过45种的效果器等着你来选用。不要太贪心呀,你要是全用上的话,最后效果也不见得多么好,够用就算了。在菜单effect/delay effect(常用效果器)之下,还有几个子菜单,分别是合唱、延迟、动态延迟、混响、房间混响、回声等。可选中一部分波形打开相应对话框后,按下预览按钮边试听,边调整。因为涉及大量专业术语,建议采用预设窗口中提供的现成效果,比你自己调整滑杆要强的多。这样,用效果器为自己的声音加上恰当的诸如回声、混响等效果。播放一遍,是不是动听的很。我已经听到你在偷偷地笑呢。如English不太好,可借用金山快译等工具来帮忙。

音量量化。无论进行到哪一步,你发现声音波形过小或是太大,CEP 都提供了顺手的工具。小比大要好办的多,过大就会造成波形上下两边特别整齐,这表明已经大于0db,形成了“消峰”失真,虽然有工具提供这种消峰现象的修整,但也要尽量地避免。我们使用音量控制效果器,可以说是CEP中用处最广泛的效果器了。先选取波形,依次打开effect/amplitude/amplify,打开音量控制对话框,如图3。从它左上角的两个标签中,可以分别打开constand amplifiction(恒定音量)与fade(渐变)两个对话框,使用方法大同小异,一般的情况下,使用右侧的预置窗口的现成效果就可以了。我们要调整整个声波的音量,

选取右侧的一个预置效果,以分贝(db)为单位的boost(提升)或cut(衰减),可以单击右下侧的预览按钮监听效果,满意后,单击确定。淡入、淡出的效果与上述相似,选取开头或结尾的约5秒以下的波形片段,再在预置效果里选择fade in(淡入)或fade out(淡出)即可,一般应锁定左右声道。试听效果后,单击确定按钮。注意,淡入淡出的效果可千万别反着用上啊,那可太难听了。

波形编辑。象大多数windows程序一样,CEP对波形的操作也支持删除、剪切、复制、粘贴等操作,且功能更全面、复杂,通过这些操作,你就可以把整个声波处理的毫无瑕疵。具体操作你可以象在其它程序中一样。CEP为你提供了相当好用的剪贴板功能,打开edit/set current clipboard(设置当前剪贴板),会发现,它为你增加到六个剪贴板供你使用。这在编辑文件时就方便的多,特别是重复使用的乐曲或是声响循环,到时,在相应的剪贴板提取就行了。别忘保存你的文件。

多轨窗口。在波形上单击鼠标右键,点选insert in multitrack(插入多轨窗口),单击窗口左上角的单轨、多轨切换按钮,切换到多轨窗口,如图1。你编辑好的波形已在最上面一轨等候了。CEP的多轨窗口,与premiere、flash、cakewalk等的“时间线窗口”相似,它有一条竖状的亮线,播放时,随着它的移动,作用于经过的所有轨道。可以用右键向两边拖动某轨的波形,以改变它的“出场”时间。也可以上下拖动,移至其它轨道。各个轨道的左边按钮中,有三个较醒目的按钮R、S、M ,分别代表录音状态、独奏、静音,可按照需要选用与取消对此轨道的作用。三个按钮左侧还有VOL与PAN的选取项,可用

直接输入数值或是右击鼠标打开控制推杆的方法,调整该轨的音量或是相位(声音来源的方向,即声相位置)如图1。

按照我们的意图,下面为这首诗加入配乐。单击左上角的切换按钮回到单轨编辑窗。单击工具栏新建、打开按钮,在对话框中选择一首合适的音乐,支持WAV、MP3甚至CD音轨等很多格式,在文件类型下拉列表中看看就知道了,可在确定之前,单击play试听此曲。最让人高兴的是,CEP可以提取视频文件中的配音,不信你看看file/extract audio from video(自视频提取音频),支持AVI、MPEG等。在打开的乐曲波形上右击鼠标,点选insert in multitrack ,将它插入多轨窗口。如果需要,当然可以在这之前进行诸多的效果处理。插入到多轨的波形将被自动放置在空缺的最上面一个轨道。

多轨混音。进行到这儿,多轨窗中,应该有两轨波形,第一轨是你读的那首诗,第二轨是配乐。按住鼠标右键左右拖动某轨波形,将它的“出场”时间调整合适。下面要做的我觉得应该是调整两轨的音量比例了。它们之间,既不能喧宾夺主,也不能唯我独尊。对某轨做整体的调整可能过于片面。这样,我们让音乐开始时照常,你的声音出现前,乐曲渐弱至一恒定音量,等到诗歌读罢,乐曲音量回到正常。依次选取view/enable envelope editing(允许包络线编辑),并且在show volume envelopes(显示音量包络)之前勾选,如需要,还可勾选show pan envelopes(显示相位包络)。在每条音轨的上部将出现亮绿色的音量控制包络线,和位于中间的亮蓝色的相位控制包络线(当然,这些颜色可以自定义),如图7。用鼠标可对各个轨道声波的局部或者

全部,进行音量与相位(声音在左右声道间的位置)的控制。我们单击第二轨任一空白处而选中此轨,在朗读声相应部位的音量控制线上,单击鼠标加入控制点,上下拖动控制点,以减弱音量,音量百分比同时会显示出来。依此类推,在需要恢复音量的部位,做类似操作,使音量复原。同理,你也可以对相位做一番调整,使被修改的部位听起来声音是来自不同的方位。一般,控制点由两个组成,一个是开始执行,一个是执行结束。如图7。按键盘上home键,使播放头回到左侧起点位置,再按播放键(快捷键为空格)试听两轨合成效果,怎么样?不错吧?选“另存为”保存的话,将被存为专用的ses格式。

合成输出。全部调整妥当,进行最后一次的试听,因为这时还是多轨的wav格式,不便于保存与传输,就把它变成你所希望的音频格式吧。在file/save mixdown as...中,意为混缩另存为……也就是说,无论你存为哪种音频格式,CEP都将把若干轨道变为只具有左右声道,但是却包含了你编辑的所有声音波形的一个文件。你可以选择保存的种种格式,如MP3等,但是要混缩为WAV时,为保证通用性,建议选用windows PCM的WAV格式。

只要你不想让电脑成为一台哑巴机器,CEP就对你有用处,你会喜欢上它的。有兴趣可[url]https://www.doczj.com/doc/4517746937.html,/cep[/url]下载试用版本。

操作小窍门:空格键,播放与暂停。shift+home 选取当前位置至开始部分;shift+end 选取当前至结尾部分。home 返回开始部位;end 跳至结束部位--多轨、单轨窗口均有效。在单轨的垂直、水平标尺,多轨的水平标尺,与两种窗口的时间显示栏右击鼠标,可自定义显示

单位或样式。

真要感谢Syntrillium Software公司为我们提供了这款专业级软件。尽管如此,上述的这些,也许不及它功能的10 % 。一个好的作品=创意+素材+得心应手的工具+辛勤的劳动。但我相信,至少你已迈出了可喜的一步,不断学习探索,几番耕耘,几分收获,让它为你的想象插上翅膀,助你到达成功的顶峰吧!

Cool Edit Pro是一个集录音、混音、编辑于一体的多轨数字音频编辑软件。这里我们以1.2版本为例介绍一下在一般制作编辑音频时经常用到的功能的使用方法。

首先介绍一下菜单和快捷工具栏的情况。

File(文件):此菜单中包含了常用的新建、打开、关闭、存储、另存为等命令。

其中的Open与Open As有一点区别,前者是一般的打开命令,而后者的意思是“打开为”,什么意思我不说大家也知道了。其实只有一个选择,就是将已建立的文件打开,然后出现如2的格式转换窗口,选择你希望的格式后点“OK”,经过一段漫长(2-4分钟)的等待后,你的原音频文件就转换成你刚才所选择的格式了。其实这个过程可以先用Open来打开文件,再用Edit中的Convert Sample Type进行格式转换来实现。真不知道David Johnston是怎么想的,弄这么一招,并不是说不好,而是实用性不强。

Open Append则是将打开的音频文件接在已打开的文件尾部,这样2

个音频文件就拼接成一个大的音频波形文件了。

Revert to Saved则是重新载入以前最后一次存盘的文件,当前的所有操作全部忽略。其实这一步可以用先关闭该文件(不存盘),然后再打开该文件来实现。

Close就是关闭当前波形文件的意思。

Close All Waves and Session关闭所有的波形文件(包括正在使用的文件和在当前任务中没有使用的文件)和任务(指多轨操作时的整个工作环境,暂时称它为“任务”)。只要使用这条命令,所有正在工作的“垃圾”和“垃圾箱”将被全部剔除。

Close Only Non-Session Wavefrom关闭与当前任务无关的波形文件。Save和Save As就不说了(傻子都知道怎么用)。

Save Copy As就是把当前正在处理(过程中)的文件做一个备份存下来。但是别忘了另取一个文件名,否则...,你的原文件可就面目全非喽!

Save Selection顾名思义将当前波形文件选中的部分存盘。

Save All则是全部存盘的意思。但是要小心,当你选择该项后,你就没的选择了,它自动将你完成或未完成的所有编辑工作和任务存盘(除非你正在编辑的文件或任务是新建的,它会让你确定文件名),一般最好不用。

Free Hard Drive Space清理硬盘空间。在你进行音频编辑时,免不了要产生一些临时和Undo文件,你可以在这里清空它。

Exit退出CE。

Edit(编辑):此菜单中包含了一些常用的复制、粘帖、删除、格式转换等命令。

Enable Undo打开Undo功能。

Repeat Last Command重复最后一次操作命令。不论你打开任何文件(包括当前文件)都能在该文件上重复上一次的操作命令。

Set Current Clipboard可以选择当前使用的剪贴板。CE自己有5个,再加Windows95(98)的1个,一共有6个剪贴板可以选择,但一次只能选1个。

Copy、Cut和Paste的功能相信大家都知道,复制、裁剪和粘帖是也。可以选中(高亮)当前文件的一部分进行复制和裁剪及粘帖工作。Paste to New将剪贴板中的文件粘帖为新文件。

Mix Paste将剪贴板中的波形内容混合到当前波形文件中。使用该命令时会出现如的对话框,在该对话框中你可以选择混音的方式。。比如插入、重叠、替换、调制等方式,还可以选择将要被混频的波形数据是来自剪贴板还是已建立的波形文件。

Copy to New将当前文件或当前文件被选中的部分复制成为一个新波形文件,并在原文件名后加上“(2)”以作表示。

Insert in Multitrack将当前波形文件或当前文件被选中的部分在多轨窗口中插入为一个新轨。

Insert Play List in Multitrack

Select Entire Wave选择整个波形。此操作也可以双击鼠标左键来完成。Delete Selection删除当前文件被选中的部分。

Delete Silence删除小电平的信号(接近无声的部分)。但在进行该工作之间要选择一些参数,比如多少分贝以下、多少时间以上等参数,这样CE才能确定去除小信号的额度。注,删除小信号后当前文件时间会变短,因为是将符合要求的小信号部分全部删除,而不是减小音量。

Trim将当前波形文件中未被选中的部分滤除。在不存盘的情况下,可以使用Revert to Saved命令恢复原文件,或者使用Undo功能恢复(当然你得打开Undo功才行)。

Zero Crossings调节所选中区域(部分)的开始和结尾到最近的零点位置。零点就是一个有效的正弦波与中心线的交叉点。该功能的使用比较深奥一些,而且用的也不多,不过还是稍微说一下。在该菜单里还有一些选项,分别给大家简单提一下:

Adjust Selection Inward将波形所选区域的起始和结尾处调节至在该区域范围内最接近零点的位置。

Adjust Selection Outward将波形所选区域的起始和结尾处调节至在该区域范围外最接近零点的位置。

Adjust Left Side to Left将波形所选区域的开始处(左边部分)向左边调节至最接近的零点的位置。

Adjust Left Side to Right将波形所选区域的开始处(左边部分)向右边调节至最接近的零点的位置。

Adjust Right Side to Left将波形所选区域的结尾处(右边部分)向左边调节至最接近的零点的位置。

Adjust Right Side to Right将波形所选区域的结尾处(右边部分)向右边调节至最近的零点的位置。

好像不太说的清楚,其实也很简单:如果起始点和结尾点刚好在零点位置上,那么相当于所选区域的开头和结尾正好处在无声的状态,当你进行复制、粘帖等类似的工作时,对原波形文件的整体破坏也减至最小,至少在听感上要比随便复制、粘帖要好一些。

该功能特别适合那些经常进行波形拼接及制作的朋友。

Find Beats用此工具可以迅速的帮您找到音乐中一个完整的拍子(有点类似节奏)的开始和结尾点。也就是2个重音(大电平)信号之间的部分,这样你可以很方便的制作loop(比如鼓loop等)。

Auto-Cue自动标示。它下面还有几个选项:

Adjust Selection to Phrase就是在所选中(高亮部分)区域内确定一个段落(这里的“段落”是本人暂时给它取的名字,这样比较好理解一点,字面翻译是“常用语句”的意思)。段落也就是从信号小电平变成大电平开始到大电平信号又变成小电平结束的那一段区域。

Find Phrases and Mark寻找所选区域内的所有段落,并将每个段落作上队列标记,添加到Cue List(队列列表)中。

Find Beats and Mark寻找所选区域内的所有拍子,并将每个拍子作上队列标记,添加入到Cue List(队列列表)中。

Auto-Cue Settings当鼠标按下时就会出现如的对话框。以上几个命令选项具体执行时对于大小电平的区分都需要根据此参数值来确定,此参数可以用Find Levels自动获得,也可以手动修改。

Snapping将指针快速的移动到一规定的位置(字面翻译是“对齐”的意思,但是用“对齐”很难说明白)。具体的位置根据它的3个子选项来决定,最好用的是第3个选项Snap to Cues。在Auto-Cue里如果已经建立了多个段落或拍子,那么在播放控制快捷栏内前进和倒退时就能根据标记快速的跳跃到下一个位置。

Adjust Sample Rate采样率调节。该采样率调节只是调节声卡播放当前音频文件时所采用的采样率(当然声卡要能支持所选择的采样率,否则不能播放),而并非修改当前文件的采样率。当改变采样率后,时间轴上的时间标尺会有所变化,采样率取低时,时间延长,反之缩短。Convert Sample Type转换采样格式。用此工具转换后当前文件的采样率将会被改变(播放时间不会变),文件大小也会改变,且处理时间也较长(大约2-4分钟)。可以在采样率、声道数及分辨精度(量化位数)参数上进行选择,在选择8位量化时,还可以选择抖动参数。Edie Tempo计算拍子。输入一些必要的参数(如每拍的时间),就能计算出当前曲子的总拍子数。

Undo Last Action等同于Edit菜单中的第一项Undo XXX(其中的“XXX”要看具体的最后一次操作)

Enable/Disable Undo等同于Edit菜单中的Enable Undo

Delete Seleced Portion等同于Edit中的Delete Selection

Trimout all except selected portion等同于Edit中的Trim

Copy selected portion to clipboard等同于Edit中的Copy

Cut selected portion to clipboard等同于Edit中的Cut

Paste clipboard into waveform等同于Edit中的Paste

Mix Paste等同于Edit中的Mix Paste

Adjust selection to,or find zero crossings在执行该操作时如果在当前波形文件中已选择了一个区域,那么该操作相当于Edit菜单中Zero Crossings中的Adjust Selection Inward;如果没有选择区域,那么该操作相当于在当前指针处向右寻找最近的一个零点位置,与Edit菜单中的Zero Crossings中的Adjust Left Side to Right相似(其实在没有区域选择时是一模一样的)

Convert Sample Type等同于Edit中的Convert Sample Type

Add current selection to Cue List将当前的选择块(所选区域)作出队列标记,并添加到Cue List(队列列表)中。

View(视):此菜单中包含了一些CE中常用视的开关项。Muititrack View按下此菜单项就转到多轨编辑状态

Waveform View波形显示菜单项

Spectral View频谱显示菜单项,与波形显示只能两者选一

Show Grid显示波形编辑窗的底格

Show Boundaries显示波形编辑窗每声道上下的边界线

Show Cue Bars显示波形编辑窗中队列指示条

Show Status Bars显示CE窗口底端的状态条

Show Level Meters显示音量指示

Show CD Player显示CD播放控制栏

Viewing Range波形编辑窗口显示波形文件的范围,按下此项后有一个对话框,添入起始时间和结束时间,那么所选时间段的波形就会完整显示在波形编辑窗中

Display Time format当前波形文件所显示的时间格式选择,与之有关的选项共有12个,大家可以根据需要选择,一般默认是十进制的“mm.ss.ddd”(分.秒.毫秒)。最下面还有两个不太相干的选项Edit Tempo(和Edit中的一样)和Define Custom Frames(默认的自定帧数)

Vertical Scale format改变波形编辑窗口右边的垂直刻度格式,可以在Sample values(采样值)、Normalized values(标准值)和Percentage (百分比)之间选择

Status Bars调整CE底端状态条的指示项目,有“鼠标指针所在位置的电平和时间值”、“采样格式”、“当前文件大小”、“当前文件时长”、“硬盘空余空间”、“硬盘空余时间”和“播放时间显示”七个选项Info当前文件的信息,你可以在你编辑制作的文件中加入你的版权信息等

Sampler Info当前文件的采样信息

Cue List队列列表中显示出当前文件已经被选定了三个队列。此队列列表对话框是浮动菜单,你可以在打开它的同时进行其他工作,如果这时队列发生变化,此对话框中会马上显示出来。细心的朋友可能会发现在对话框的右下方位置右个Batch按钮,当你选定列表中任何一个队列时,它会被点亮,按下后出现对话框。Set Amount Of Silence

的功能是在所选定队列的起始点和结尾处范围内的两端各插入一个小电平(零电平)信号,此信号的时值就是下面所选定的时间值。这样当前文件长度就会发生变化(所增加的时间就是选定的两个时间值之和)。当然你可以再次进入Batch里,将时间值全改为“0”,那么当前文件的长度又回到原来的大小了。哪怕在未改回原长度之前已经存盘了也不要紧,将存盘文件打开,你会看到原来的队列(你曾经处理过的队列)还在,那么进入Batch,将时间值改成“0”后确定,就又回到原来的长度了。Batch对话框的下半部分还有个Save to Files 选项,我想不说大家也知道是将所选的队列区域内的波形文件另存为一个文件。Filename Prefix后面文本框内添入所希望的文件的前缀,它会自动给文件编号。再下面两个就不说了,这都不会,那这几年的电脑就白玩了。

Play List播放列表

Time Window播放时间浮动窗口

Switch to Multitrack Mixer View等同于View菜单中的Muititrack View Toggle Between Spectral and Waveform views等同于View菜单中的Waveform View和Spectral View

Additional Information to be contained in WAV file等同于菜单中的Info Edit the left channel of a stereo Waveform编辑立体声波形文件的左声道

Edit the right channel of a stereo Waveform编辑立体声波形文件的右声道

Edit the both channel of a stereo Waveform同时编辑立体声波形文件的左右声道

Open cue list等同于菜单中的Cue List

Open play list等

图文详细教程教你如何用Cool_Edit_Pro_2_1录音1

首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。然后下载我们要用到的工具COOL EDIT PRO 2.1及其插件。 Cool Edit Pro 2.1 下载 1、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 2、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。

3、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件,可以是mp3,也可以是wav等其它音乐文件的格式。

4、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 5、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图:

6、我们录制的声音首先要进行降噪,虽然录制环境要保持绝对的安静,但还是会有很多杂音的。点击效果中的降噪器,我们在上面已经进行过了环境的噪音采样,此时只需点击“确定”,降噪器就会自动消除你录制声音中的环境噪音,你也可以打开“预览”自己拖动直线来进行调整直到满意为止。加一句,过多的降噪会对声音有一定的损失。

网店美工视觉设计实战教程(全彩微课版)-48481-教学大纲

《网店美工视觉设计实战教程(全彩微课版)》 教学大纲 一、课程信息 课程名称:网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版) 课程类别:素质选修课/专业基础课 课程性质:选修/必修 计划学时:21 计划学分:2 先修课程:无 选用教材:《网店美工视觉设计实战教程(全彩微课版)》,何晓琴编著,2018年;人民邮电出版社出版教材; 适用专业:本书可作为有志于或者正在从事淘宝美工相关职业的人员学习和参考,也可作为高等院校电子商务相关课程的教材。 课程负责人: 二、课程简介 随着网店的迅速普及和全民化,衍生了“淘宝美工”这个针对网店页面视觉设计的新兴行业。本书从淘宝美工的角度出发,为淘宝卖家提供全面、实用、快速的店铺视觉设计与装修指导。主要包括网店美工基础、图片调色、图片修饰、店铺首页核心模块设计、详情页视觉设计、页面装修、视觉营销推广图制作等,最后针对无线端进行首页、详情页视觉的设计与装修。本书内容层层深入,并通过丰富的实例为读者全方面介绍淘宝美工在日常工作中所需的知识和技能,有效地引导读者进行淘宝店铺装修的学习。 本课程主要对淘宝美工的设计基础和方法进行详细介绍,通过学习该课程,使学生了解网店美工的基本要求,以及掌握网店的设计与制作。 三、课程教学要求

体描述。“关联程度”栏中字母表示二者关联程度。关联程度按高关联、中关联、低关联三档分别表示为“H”“M”或“L”。“课程教学要求”及“关联程度”中的空白栏表示该课程与所对应的专业毕业要求条目不相关。 四、课程教学内容

五、考核要求及成绩评定 注:此表中内容为该课程的全部考核方式及其相关信息。 六、学生学习建议 (一)学习方法建议 1. 理论配合实战训练进行学习,提高学生的实战动手能力; 2. 在条件允许的情况下,可以申请一个网店,进行深入学习; 3. 提高学生的是设计感和审美能力; (二)学生课外阅读参考资料 《网店美工:店铺装修+图片美化+页面设计+运营推广(全彩微课版)》,何晓琴编著,2018年,人民邮电出版社合作出版教材

quartusII图形设计过程教程

Quartus II 的使用 (2) 1 工程建立 (2) 2 原理图的输入 (5) 3 文本编辑(verilog) (15) 4 波形仿真 (16)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击 ok按钮。此时可看到光标上粘着被选的符号,将其移到合 适的位置(参考图 1.11)单击鼠标左键,使其固定; (4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧 单击鼠标左键,即可看到在input和D触发器之间有一条 线生成;

cooledit使用教程

C o o l E d i t P r o2.0录音图解教程 特别感谢网友川江魅影和戈壁提供指导整理/飞虹C o o l E d i t P r o2.0录音图解教程 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来 听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的m i c调试好。然后下 载我们要用到的工具C O O L E D I T P R O2.0及其插件。 c o o l e d i t p r o2.0下载(带汉化 注册机) c o o l e d i t2.0汉化 U l t r a f u n k插件下载 B B E S o n i c M a x i m i z e r插件下载b b e序列号:S M V100W1002507 W a v e插件 插件4 插件5 1、安装好c o o l e d i t p r o2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“D X”的文件夹, 把所有的插件都安装释放到这里。 2、打开c o o l e d i t p r o2.0后,会自动建立一个新的工程。界面如下: 大家看到,我标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点 亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开 始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,如图:

需要把录音项打勾,在M I C一栏中选中,其它的不要选择,因为我们要录的只是自己要歌 唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很 长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关 闭”。回到多轨模式下删除此轨。 以是m p3,也可以是w a v等其它音乐文件的格式。

Cool Edit Pro一些使用技巧

Cool Edit Pro一些使用技巧 Cool Edit Pro一些使用技巧 出处:多特软件站时间:2008-09-03 人气:62290我要提问我来说两句 核心提示:如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。 如果你的Windows 计算机有一块声卡或健全的模块( 作为几乎所有做) ,Cool Edit 能把它变成一记录有特征的所有的工作室由职业的声音工程师使用了。你能使用它记录你的自己的音乐,声音或另外的声音, 编辑它, 与另外的声音或音乐的部分混合它, 象Reverb ,合唱团,并且回响一样增加效果到它,equalize 它,并且主人它以便你能烧它到CD ,在万维网上邮寄它,或发电子邮件给它。一旦你开始,你将在你能完成的被惊奇! 下面,我们以一段吉他音频的编辑为例,介绍Cool Edit Pro的编辑功能.至于其他的贝斯,人声等,编辑方法基本一样. 如图,是一段没有经过编辑的木吉他节奏波形.红线所指,是开

始弹奏前预留的一段空白噪音.这段噪音的预留,是为了现在进行采样降噪做准备的. 首先谈谈降噪的过程: 我们录进电脑里的波形,一定会存在有噪音。对各种噪音,有各种解决办法. DC Offset(直流偏移)是由一些麦克风或者声卡造成的,表现现象就是波形没有处于水平线正中部位,在纵向有一定偏移. 它的处理方式最简单,一般的音频软件都可以很容易去除.如Sound Forge里,只要在"process"菜单里选择"Dc Offset"就可以了. 而在Cool Edit Pro中,则在"转换"菜单里选择"Amplify",如下图所示: 点红线所指之处,会出现下面的对话框 选中"Enable DC Bias Adjust"前的勾,然后在红线指处填进去"0",然后选择"OK"就可以了.而背景噪音是一般个人电脑录音中最大的问题,因为房间隔音能力差,环境不安静造成各种各样的背景噪音.如声卡的杂音,音箱的噪音,家里电器的声音,电脑的风扇,硬盘..., 采样降噪是目前比较科学的一种消除噪音的方式,它首先获

Quartus_II使用教程-完整实例

Quartus Ⅱ入门教程 (一个Verilog 程序得编译与功能仿真) Quartus Ⅱ 就是Altera 公司推出得专业EDA 工具,支持原理图输入、硬件描述语言得输入等多种输入方式。硬件描述语言得输入方式就是利用类似高级程序得设计方法来设计出数字系统。接下来我们对这种智能得EDA 工具进行初步得学习。使大家以后得数字系统设计更加容易上手。 第一步:打开软件 快捷工具栏:提供设置(setting),编译(pile)等快捷方式,方便用户使用,用户也可以在菜单栏得下拉菜单找到相应得选项。● 菜单栏:软件所有功能得控制选项都可以在其下拉菜单中找到。 ● 信息栏:编译或者综合整个过程得详细信息显示窗口,包括编译通过信息与报错信息。 第二步:新建工程( Project Wizard ) 1 工程名称: 快捷工具栏 菜单栏 所建工程得保存路径 工作区 资源管理窗口 任务管理窗口

dqgxo 。 2添加已有文件(没有已有文件得直接跳过next ) 3 选择芯片型号(我们选择MAX3000A 系列下得EPM3256AQC208-10芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 工程名称 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在得文 件就在该过程中添加, 软件将直接将用户所添加得文件添加到工程中。

4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None,然后next) 5 工程建立完成(点finish ) 所选得芯片得系列型号 快速搜索所需得芯片 选择芯片 选择第三方综合工具,如果使用Quartus 内部综合工具则选择none 选择第三方仿真工具,如果使用Quartus 内部仿真工具则选择none 选择时序分析仪

Cool Edit 教程--Cool Edit 的安装及参数设置

Cool Edit 教程--Cool Edit 的安装及参数设置 首先,我们要做好准备工作。把你的耳机作为监听音箱(此步的意义就是说用耳机来听伴奏音乐,否则在你录音时会录入音乐和人声的混合声音),把你的mic调试好。 然后下载我们要用到的工具COOL EDIT PRO 2.0及其插件。现在很多的音乐制作人都在使用这个超级工具。闲话少说,与本次介绍给大家无关的不提,我们现在开始。 1、安装好cooledit pro 2.0后,再把插件安装到安装目录下的子目录中,新建一个名曰“DX”的文件夹,把所有的插件都安装释放到这里。 2、打开cooledit pro 2.0后,会自动建立一个新的工程。界面如下: 大家看到,标注的红色1是多轨与单轨切换的按钮。红色标注2是表示你在录音时要点亮“R”“S”“M”中的“R”,这表示此轨是在录音范围之中。点击录音键(红色标注3)就可以开始录下你的声音了。 在录音之前还需调整你的“声音与音频属性”,双击电脑桌面右下角的音量图标,打开后点选项中的属性如图:

需要把录音项打勾,在MIC一栏中选中,其它的不要选择,因为我们要录的只是自己要歌唱的声音。 3、在第三轨处点亮R,点击录音键,不要出声,先录下一段空白的噪音文件,不需要很长,录制完后双击进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样,点击“关闭”。回到多轨模式下删除此轨。另,也可以在单轨下截取音轨空白的部分获取噪音进行降噪。

4、右键单击第一轨,插入一个音频文件,注意,这个音频文件就是你的音乐伴奏文件, 可以是mp3,也可以是wav等其它音乐文件的格式。

5、在第二轨处,把R点亮,点击下面的红色录音键就可以开始跟唱了。不要忘了准备歌词和熟悉歌曲的旋律,要不然录出来的东西可是……嘿嘿 6、录制完成之后,你可以听听你录下的声音是不是干巴巴的?很难听吧?呵呵,都这样,没有加任何效果嘛。好,现在我们来给你的声音进行一下润色吧。右键点击你录制声音所在的轨道,点击波形编辑进入单轨模式。如图

CoolEditPro20详细指导[1-4](图文)

Cool Edit Pro 2.0详细教程[1-4](图文) 系统介绍一下用Cooledit pro 2.0录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要 重新录制(图4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6 图7),以前的介绍中是让大家存为wav格式,其实mp3 也是绝对可以的,并且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

QuartusII教程(完整版)

Quartus II 的使用 (1) 1 工程建立 (1) 2 原理图的输入 (4) 3 文本编辑(verilog) (14) 4 波形仿真 (17)

Quartus II 的使用 在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。 图 1.1 Quartus II 管理器 1.1 工程建立 使用New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。还可以指定要在工程中使用的设计文件、其它源文件、用户库和EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。 建立工程的步骤如下:

(1)选择File菜单下New Project Wizard ,如图1.2所示。 图 1.2 建立项目的屏幕 (2)输入工作目录和项目名称,如图1.3所示。可以直接选择Finish,以下的设置过程可以在设计过程中完成。 图 1.3 项目目录和名称

(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。 图 1.4 加入设计文件 (4)选择设计器件,如图1.5所示。 图 1.5 选择器件

(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。 图 1.6 选择EDA 工具 (6)建立项目完成,显示项目概要,如图1.7所示。 图 1.7 项目概要 1.2 原理图的输入 原理图输入的操作步骤如下:

(1)选择File 菜单下New ,新建图表/原理图文件,如图1.8 所示。 图 1.8 新建原理图文件 (2)在图1.9的空白处双击,屏幕如图1.10所示: (3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input 右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;

CoolEdit2.0使用教程

Cool Edit Pro v2.0实验手册 实验一安装注册 Cool Edit是一款功能强大、效果出色的多轨录音和音频处理软件。它是一个非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WA V 等文件格式之间进行转换,并且能够保存为RealAudio格式。 实验目的 了解Cool Edit的功能 掌握Cool Edit2.0及相关插件的安装过程 实验内容 1.1安装 步骤一:运行cep_v2.0 setup.exe安装Cool Edit Pro v2.0。勾选“同意许可协议”后点击“Continue”继续,来到友好欢迎界面(图1.2)。 图1.1 图1.2 步骤二:安装路径,默认为:C:\Program Files\coolpro2,可以通过“Browse”另择安装

路径。这个安装路径很重要,在后面的注册、汉化操作中都会涉及到。 图1.3 图1.4 步骤三:路径设置完毕,在图1.5中可以看到我设置的路径为:D:\Program Files\coolpro2。点击“Next”进入下一步操作(图1.6)。这里是要我们选择将来软件关联的音频格式,默认中已经准备了很多,我们只需点击“Next”即可。 图1.5 图1.6 步骤四:准备安装。当我们看到图1.7所示界面,表示前期工作已经结束,可以安装了。 图1.7 图1.8

FPGA入门及Quartus II使用教程(内部资料)

FPGA入门及Quartus II使用教程FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑PAL(Programmable Array Logic)、门阵列逻辑GAL(Gate Array Logic)等可编程器件的基础上上进一步发展的产物。 可以这样讲,ASIC(Application Specific Integrated Circuit )内部的所有资源,是用积木堆积起来的小房子,可以是一个欧美风情的房子,还可以是一个北京四合院…….而FPGA内部就可以说是一个个小积木,也就是内部有大量的资源提供给我们,根据我们的需求进行内部的设计。并且可以通过软件仿真,我们可以事先验证设计的正确性。 第一章FPGA的基本开发流程 下面我们基于Altera 公司的QuantusII 软件来说明FPGA 的开发流程。 下图是一个典型的基于Quartus II的FPGA开发整体流程框图。

1、建立工程师每个开发过程的开始,Quartus II以工程为单位对设计过程进行管 理。 2、建立顶层图。可以这样理解,顶层图是一个容器,将整个工程的各个模块包 容在里边,编译的时候就将这些模块整合在一起。也可以理解为它是一个大元件,比如一个单片机,内部包含各个模块,编译的时候就是生成一个这样的大元件。 3、采用ALTERA公司提供的LPM功能模块。Quartus软件环境包含了大量的常 用功能模块,比如计数器、累加器、比较器等等。 4、自己建立模块。由于有些设计中现有的模块功能不能满足具体设计的要求, 那就只能自己设计。使用硬件描述语言,当然也可以用原理图的输入方法,可以独立的把它们当成一个工程来设计,并且生成一个模块符号(Symbol),类似于那些LPM功能模块。这里可以理解为,如果我们需求的滤波器,没有现成的合适的,那我们可以通过LC自己来搭建一个滤波器。 5、将顶层图的各个功能模块连线起来。这个过程类似电路图设计,把各个芯片 连起来,组成电路系统。 6、系统的功能原理图至此已经基本出炉了,下一步就是选择芯片字载体,分配 引脚,设置编译选项等等。 7、编译。这个过程类似软件开发里德编译,但是实际上这个过程比软件的编译 复杂的多,因为它最终要实现硬件里边的物理结构,包含了优化逻辑的组合,综合逻辑以及布线等步骤。 8、编译后会生成2个文件,一个是*.sof文件,一个是*.pof文件,前者可以通过 JTAG方式下载到FPGA内部,可以进行调试,但断电后数据丢失;后者通过AS或者PS方式下载到FPGA的配置芯片里边(EEPROM或者FLASH),重新上电后FPGA会通过配置将数据读出。 9、对于复杂的设计,工程编译好了,我们可以通过Quartus软件或者其他仿真 软件来对设计进行反复仿真和验证,直到满足要求。(主要是时序仿真)。 第二章基于Quartus II的实例 一、建立工程 首先,打开Quartus II软件。

录音软件cooledit2.1详细使用方法

一、软件简介: CoolEdit PRO 2.1 破解版(功能强大的多轨录音软件)非常出色的数字音乐编辑器和MP3制作软件。不少人把Cool Edit形容为音频“绘画”程序。你可以用声音来“绘”制:音调、歌曲的一部分、声音、弦乐、颤音、噪音或是调整静音。而且它还提供有多种特效为你的作品增色:放大、降低噪音、压缩、扩展、回声、失真、延迟等。你可以同时处理多个文件,轻松地在几个文件中进行剪切、粘贴、合并、重叠声音操作。使用它可以生成的声音有:噪音、低音、静音、电话信号等。该软件还包含有CD播放器。其他功能包括:支持可选的插件;崩溃恢复;支持多文件;自动静音检测和删除;自动节拍查找;录制等。另外,它还可以在AIF、AU、MP3、Raw PCM、SAM、VOC、VOX、WAV 等文件格式之间进行转换,并且能够保存为RealAudio格式。 二、使用说明: 1.运行cep_v 2.0 setup.exe安装Cool Edit Pro v2.0! 一般都会安装到默认的路径 2.运行破解注册程序 cep2reg.exe程序注册,输入注册码: Name: mydaj Code: 200-00-NKLYUBNZ 3.运行cep_v2.1 setup.exe程序安装Cool Edit Pro v2.1! 4.运行汉化程序 Cool2chinese 汉化包安装到上面安装程序的路径下 5.下面是三个效果插件,这些效果插件都有破解和注册码。按默认路径就可以了。请一个一个安装: BBE Sonic Maximizer (serial: SMV100W1002507) ultrafunk2 wave3.0 三、系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 (一)录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma伴奏文件(图1)。

音乐编辑Cool Edit Pro简单教程

Cool Edit Pro 用Cool Edit Pr o消除原唱,方法实际上可以说很简单,然而要想把它做的很完美还是得下点功夫。 我这里说的“消原唱”只是Cool Edit Pro菜单中的一个独立功能,选择并使用就立即出结果。但是要想得到最好的效果,仅仅使用Cool Edit Pr o的“消原唱”菜单功能还是不够滴!!你还得进行跟多细致的音频处理和设置。 无论如何,还是先让我们尝试下最简单的“消除原唱”的方法。 首先进入“单轨编辑模式”界面。用“File”→“Open”调入一个音频文件。我已庾澄庆的《命中注定》为例,文件名为“命中注定.MP3”。调入后选择“Effects”→“Amplitude” →“Channel Mixer…..”(中文版的为“效果”→“波形振幅”→“声道重混缩”),在“预置”中选择“Vocal Cut”见(图1),保持对话框上的默认设置,点“OK”按钮。经过处理后,就得到了《命中注定》这首歌的伴奏音乐。 “Vocal Cut”功能的原理是:消除声像位置在声场中央的所有声音(包括人声和部分伴奏)。所以用此功能主要的还是要看伴奏的来源,混音前是否有乐器和人声放在声场的中央,如果有的话用此功能都会把它给消除掉,造成了音质的衰减。比如说一般声场放在中央的有“主人声”、BASS……等等。如果大家需要消音音频来源是我说的这些原理的来源的话,我还是建议不要使用此功能,这样人声没消掉到把伴奏音乐全给消除了,真让人郁闷呵呵 Adobe Audition 导语:现在很多影碟机和软件都能将有歌声的双声道歌曲消除原唱,但是您会发现消除后伴奏就变成单声道了,并且只针对回声很小的歌曲有效果。而最新版本的Adobe Audition就解决了这个难题,消除了原唱不仅能保持双声道,而且回声较大的歌曲也能将歌声减去很多,且效果较好,甚至还可以将左声道是伴奏右声道是原唱的VCD音频转换成双声道伴奏! 网友们,在充满着音乐的美好的时光里,确实给我们带来了很多的欢乐,动听的歌声打动着我们每个人的心灵,优美的旋律让我们放开心怀,丰富了我们的业余生活。对!我们听着的音乐都是歌星们演唱的,但是,如果有自己心爱的歌曲想自己唱,那就需要原唱歌曲的伴奏了。其实您需要伴奏也可以去音像店里购买歌星的MTV VCD/DVD或者KALAOK VCD/DVD,也可以去网上寻找MP3、MIDI伴奏,除少部分歌曲在音像店或者网上能够找到双声道伴奏外,有一部分歌曲是单声道伴奏,但有一部分歌曲根本就没有伴奏,哎呀,如果我心爱的歌曲我买不到也找不到伴奏那怎么办呢?没关系,这篇文章可以为您解难!另外,有的已做好了的伴奏有导唱旋律声,有的朋友唱歌水平很高,不需要导唱旋律声,如果找不到无导唱旋律声的双声道伴奏的情况下,也可以在下面的介绍中利用原唱歌曲消除原唱保持双声道伴奏,当然,不同的伴奏制作方法有不同的效果,应该根据个人情况决定。这篇文章我们会介绍将已有的单声道伴奏制作成双声道伴奏、将有歌声的歌曲消除原唱制作成双声道伴奏、将伴奏制作成个性化伴奏。

cooleditpro音频基本编辑方法

3、单轨音频基本编辑方法 1. 录音 Cool Edit Pro可以录入多种音源,如:话筒、录音机、CD播放机等,将这些设备与声卡连接好,就可以准备录音了。录音的步骤如下: (1)将话筒插入电脑声卡的麦克风插孔,开启话筒电源。 (2)启动Cool Edit Pro后,切换到波形单轨编辑窗口。 (3)选择菜单[文件][新建],出现“新建波形”对话框,选择适当的采样率,声道数,采样精度,如图4-9所示。 (4)按下操作区的“”按钮,开始录音。如图4-10所示。 图4-9 新建波形图4-10 录音按钮 (5)单击操作区左上角的“”按钮就可以停止录音。 (6)通过单击“”按钮进行试听。 (7)通过菜单[文件][另存为]进行保存,保存时可以选择不同的文件类型,如图4-11所示。

图4-11 录音文件保存 2. 摘录 Cool Edit Pro可以从CD或VCD中摘录声音,现以CD为例,步骤如下:(1)将CD放入光驱中,选择菜单[文件]|[从CD中提取音频]。 (2)在[音轨]下拉文本框中选择要提取的音轨,单击[确定],如图4-12所示。 图4-12 摘录 (3)通过菜单[文件]|[另存为]选择需要的类型进行保存。 3. 其他操作

其他的操作如剪切、复制、粘贴等功能和一般的应用软件很相似。在波形窗口左右声道的交界处拖动鼠标,选中要处理的波形区域,单击右键弹出快捷菜单就可以选择剪切或复制命令,在需要插入波形的地方同样单击右键弹出快捷菜单就可以选择粘贴命令。如果要选中右声道中的波形,则可以将光标移到波形窗口下方边界时,光标显示“R”的时候拖动鼠标,如图4-13所示。同样的,如果要选中左声道中的波形,则可以将光标移到波形窗口上 图4-13 选择右声道中的波形 方边界时,光标显示“L”的时候拖动鼠标。 4、单轨音频效果处理 1. 音量调整 Cool Edit Pro可以在保证不出现声音失真的前提下,对声音进行调整。 操作步骤如下: (1)打开要处理的音频文件。 (2)选择菜单[效果]|[波形振幅]|[渐变]。

QuartusII入门详细教程实例讲解

Quartus II入门详细教程实例讲解 写在前面: 1.本教程适合以前没有接触过QuartusII开发软件的新手,本教程是基础的入门,后续的学习还得大家自己努力。 2.本教程非常详细手把手带大家入门,网上现存的很多教程,有的过于跳跃,难以跟上;有的遇到错误,但教程没有指出,导致我们不知道怎么做。 3.本教程首先通过简单的仿真实验带大家入门。VHDL源代码会附在文档最后。 4.本教程使用Quartus II 9.1版本进行演示,其他版本的操作差别不是太大,也可以进行学习。 目录 一、Quartus II开发软件基本介绍 1.1 Quartus Ⅱ简介 Quartus Ⅱ是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。 1.2 Quartus Ⅱ开发流程 使用Quartus II 软件进行开发的流程如图1.2.1所示。需注意的是,Quartus II还可以使用命令行模式的TCL批处理脚本进行自动流程控制。

图1.2.1 Quartus Ⅱ开发流程 二、用3-8译码器的设计介绍QuartusⅡ的基本使用方法(VHDL仿真) 1.1打开软件 双击桌面安装好的QuartusⅡ 9.1图标,打开软件,主页面如图1所示。 图1 在图1中,1区为菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。2区为快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。3区为资源管理窗口。4区为编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当显示100%是表示编译或者综合通过。5区为工作区。6区为信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

Cool Edit Pro中文说明书

Cool Edit Pro详细教程 录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3伴奏文件,wav 也可(图1)。 (图1) 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

(图3) 4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图 4)

(图4) 5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro 格式(图6图7),以前的介绍中是让大家存为wav格式,其实mp3也是绝对可以的,并 且可以节省大量空间。

(图5)

(图6) (图7) (注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪处理 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Cooledit2.1(中文版)使用教程

录制原声 录音是所有后期制作加工的基础,这个环节出问题,是无法靠后期加工来补救的,所以,如果是原始的录音有较大问题,就重新录吧。 1、打开CE进入多音轨界面右击音轨1空白处,插入你所要录制歌曲的mp3/wma 伴奏文件(图1)。 2、选择将你的人声录在音轨2,按下“R”按钮。(图2)

3、按下左下方的红色录音键,跟随伴奏音乐开始演唱和录制。(图3)

4、录音完毕后,可点左下方播音键进行试听,看有无严重的出错,是否要重新录制(图4)

5、双击音轨2进入波形編辑界面(图5),将你录制的原始人声文件保存为mp3pro格式(图6图7),以前的介绍中是让大家存为wav格式,其实wma/mp3也是绝对可以的,并且可以节省大量空间。

(注)需要先说明一下的是:录制时要关闭音箱,通过耳机来听伴奏,跟着伴奏进行演唱和录音,录制前,一定要调节好你的总音量及麦克音量,这点至关重要!麦克的音量最好不要超过总音量大小,略小一些为佳,因为如果麦克音量过大,会导致录出的波形成了方波,这种波形的声音是失真的,这样的波形也是无用的,无论你水平多么高超,也不可能处理出令人满意的结果的。 另:如果你的麦克总是录入从耳机中传出的伴奏音乐的声音,建议你用普通的大话筒,只要加一个大转小的接头即可直接在电脑上使用,你会发现录出的效果要干净的多。 降噪是至关重要的一步,做的好有利于下面进一步美化你的声音,做不好就会导致声音失真,彻底破坏原声。单单这一步就足以独辟篇幅来专门讲解,大家清楚这一点就行了。 1.点击左下方的波形水平放大按钮(带+号的两个分别为水平放大和垂直放大)放大波形,以找出一段适合用来作噪声采样波形(图8)。

Quartus_II简明教程

Quartus II简明教程 Altera公司的Quartus II设计软件是用来进行SOPC(System-on-a-programmable-chip)设计的综合的设计环境。本教程适用于Quartus II软件的新用户,介绍使用Quartus II软件的进行FPGA设计的基本方法。需要注意,本教程并不是Quartus II软件的详尽的参考手册。 本教程包含的主要内容: 1、典型的FPGA设计流程; 2、开始 3、新建project 4、设计输入 5、编译 6、引脚分配 7、仿真 8、编程、配置FPGA器件 9、板级调试

1、 典型的FPGA 设计流程 计算机辅助设计(Computer Aided Design ,CAD )软件的使用使得使用可编程逻辑器件(Programmable Logic Device ,PLD)器件(比如Field Programmable Gate Array ,FPGA)进行数字逻辑电路设计变得非常容易。使用CAD 软件进行FPGA 设计的典型流程如图1所示。 图1 FPGA 设计的典型设计流程 Quartus II 软件支持以上设计流程的所有阶段。本教程介绍Quartus II 软件的基本特征。 2、 开始 在Quartus II 软件中设计的每个电路或者子电路都叫做项目(Project )。Quartus II 软件每次只能打开一个Project ,并且一个Project 的所有信息都必须保存在同一个文件夹。为了开始一个新逻辑电路的设计,首先第一步就是新建一个文件夹来保存此Project 的文件。为了保存本教程的设计项目Project ,新建文件夹D:\introtutorial 。本教程运行的例子是一个简单两路开关控制电路。 启动Quartus II 软件,会打开如图2所示启动画面。启动画面中包含了使用Quartus II 软件所需要的

【教程】Cooledit pro 2.1录歌步骤及后期处理

Cooledit pro 2.1录歌步骤及后期处理 介绍 系统介绍一下用Cooledit pro 2.1录制自唱歌曲的一个全过程,希望对喜欢唱歌,想一展歌喉的朋友有所帮助。 Cooledit pro 2.1软件下载(右键另存) 第一步:降噪音 1 打开ce,先点亮第3轨的R,然后在安静的环境下,不要出声音,先录一小段,时间不用很长,几秒就可以了。

2 然后右键单击第3轨,选择编辑波形,进入单轨模式,选择效果-噪音消除-降噪器,选择噪音采样.采样结束 后点关闭,再回到单轨模式下,把第3轨删除.

第二步:录歌 在第1轨插入伴奏,点亮第2轨的R ,开始录歌了哦...录歌结束后,右键单击第2轨,(我个人建议先把原唱保存一下,以便做处理的时候随时调出来用).选择编辑波形,选择效果-噪音消除-降噪器,因为刚才已经对噪音 进行了采样,现在只需点确定就OK了. 第三步:后期处理 在单轨模式下 1:选择效果里的Driectx 里的BBESonicMaximier 会出现3个圆钮,第一个是使声音浑厚的效果,第2

个是清亮的效果,第三个是音量。。。看自己唱的感觉调节。我基本都是默认,直接点确定。。也可以视 听来调节感觉。 2:选择效果里的Driectx 里的Wavesc4 这个是压限,就是使声音听起来平稳,不会忽大忽小。参数如图:

3:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Compressor 参数如图:

4:选择效果里的Driectx 里的UItrafunkfx 这个插件里的Reverb 这个是加混响,参数如图:

Quartus_II_9.0_使用初级教程

Quartus Ⅱ 9.0 使用教程(初级) Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA 工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 第一步:打开软件 ● 快捷工具栏:提供设置(setting ),编译( compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 ● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 ● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。 ● 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

第二步:新建工程(file>new Project Wizard ) 1 工程名称: 2添加已有文件(没有已有文件的直接跳过next )

3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片) 4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )

5 工程建立完成(点finish) 第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。

第四步:编写程序 3-8译码器的VHDL描述源文件如下: library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port( A:in std_logic_vector(2 downto 0); EN:in std_logic; Y:out std_logic_vector(7 downto 0)); end decoder3_8; architecture example_1 of decoder3_8 is signal sel:std_logic_vector(3 downto 0); begin sel<=A & EN; with sel select Y <= "11111110" when "0001", "11111101" when "0011", "11111011" when "0101", "11110111" when "0111", "11101111" when "1001", "11011111" when "1011",

相关主题
文本预览
相关文档 最新文档