当前位置:文档之家› (完整版)大学毕业设计说明书

(完整版)大学毕业设计说明书

(完整版)大学毕业设计说明书
(完整版)大学毕业设计说明书

2013届毕业设计说明书

基于EDA技术设计的32路彩灯控制器

系、部:电气与信息工程学院

学生姓名:

学号:

指导教师:

职称:

专业:电子信息工程

班级:

完成时间:2013年5月20日

摘要

随着科学技术的发展,在现代生活中,节日彩灯作为一种景观装饰被应用的领域越来越广泛。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛,也使人们可以从繁重的设计工作中解脱出来,提高设计效率,缩短产品研发周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。

此次设计一个彩灯控制器,可以实现十六种不同花型的变化。用分频器来控制实现调速按钮,高电平变化快,低电平变化慢,还要配备清零按钮。根据上述的情况来分配任务,将本次设计的主控电路分为两个模块,分别为时序控制电路模块和显示模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并且将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期有规律的输出设定的十六种不同彩灯变化花型。整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK,系统清零信号CLR,彩灯频率输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。

本文阐述了基于EDA技术的多路彩灯控制器的设计与分析,并在Quartus II 环境下采用VHDL语言实现,也得出了采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。

关键词:彩灯控制器;VHDL;EDA

ABSTRACT

with the development of science and technology, in the modern life, is more and more widely application field. With the development of electronic technology, the application system toward miniaturization, the direction of the rapidness, large capacity, light weight, the application of EDA technology electronic products and systems development of revolutionary change. As the programmable logic devices standard language VHDL language description ability, wide coverage, abstract ability, more and more widely in the practical application, also make people can be free from work, improve the design efficiency, shorten product development cycle. The whole process finished automatically by the EDA tools, greatly reduce the design personnel's work strength, improve the quality of the design, reduces the chance of error.

A lantern controller, the design can achieve 16 different patterns of change, i.e. there should be a reset switch, use a state machine to control the implementation. Divider is used to realize the speed control button, control . Assigned tasks according to the above situation, the design of main control circuit can be divided into two modules, the timing control circuit module and display module respectively. Sequential control circuits are according to the input signal is set to get the appropriate output signals, and the display circuit as a signal of the clock signal; Display circuit input clock signal cycle of regular output of 16 different lights change pattern. There are three input signals: the whole system control lights rhythm of the reference clock signal CLK, CLR, system reset

signal lights CHOSE_KEY frequency output selection control signal; A total of 32 output signal LED [31. 0], 32 were used to control the lights.

This paper expounds the multi-way lantern controller based on EDA technology, the design and analysis, and in the Quartus II environment

are implemented using VHDL language, and the CPLD is obtained in terms

of product development can flexibly configure module, greatly shorten

the product development cycle, and conducive to product to miniaturization, the direction of integration. Based on VHDL language

and CPLD chip is discussed, and the digital system design ideas and implementation process.

Key words lantern controlle;VHDL; EDA

目录

1 绪论 (1)

1.1 课题背景 (1)

1.2 研究目的和意义 (2)

2 设计内容 (3)

2.1 设计要求 (3)

2.2 功能描述 (3)

2.3 设计原理 (4)

3模块设计及其功能 (5)

3.1 模块功能描述 (5)

3.2 时序控制电路设计 (5)

3.2.1振荡器 (6)

3.2.2频率发生器 (7)

3.2.3地址码产生器 (8)

3.3 显示控制电路设计 (10)

3.3.1编码发生器 (11)

3.3.2驱动电路 (20)

4 顶层模块设计 (21)

5 彩灯控制器仿真测试 (22)

5.1频率发生器模块仿真波形 (22)

5.2地址码产生器模块仿真波形 (23)

5.3编码发生器模块仿真波形 (24)

5.4彩灯控制器顶层文件仿真波形 (25)

6 总结 (26)

参考文献 (27)

致谢 (29)

附录程序清单 (30)

1 绪论

随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安置在各种建筑物的适当地方作为装饰添加气氛,达到了广告宣传的作用。对实时动态效果的灯光进行实时控制的装置很多,如电脑编程多路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。以上这些控制装置均运用计算机技术、电子信息技术和声光及无线技术,对被控灯光系统按设定的要求进行亮、灭灯等控制,形成了各种各样的灯光图案效果,有时还配以音乐和水幕喷射,营造了梦幻般的艺术特景效果,让人叹为观止。

1.1 课题背景

在经济和商业高度发达的今天,彩灯已经成为人民日常生活不可或缺的一部分,已经逐渐开始被越来越多的人们所关注,在家庭中的使用率不断提高,大大的丰富和改善了人民的生活。彩灯可由不同颜色色彩的LED 灯组成,通过控制不同颜色的灯的亮与灭时间顺序,呈现出不同的花型和图案,极大的丰富了乏味的现代都市夜景,让城市圈成为了多姿多彩的不夜城。此次设计主要是根据可编程逻辑器件,使用硬件描述语言VHDL,采用了“自顶而下”的设计思路,完成一个32路彩灯控制器的设计,并且使用Quartus II仿真软件来仿真结果。

VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)诞生于1982年,是由美国国防部牵头开发的一种快速设计电路的工具,目前已经成为IEEE(The Institute of Electrical and Electronics Engineers)的一种工业标准硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能

力,可支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点,因此设计人员可不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,与其它硬件设计方法相比,用VHDL进行工程设计的优点是多方面的:具有很强的行为描述能力,可支持大规模设计的分解和已有设计的再利用,可读性良好,便于发现错误及修改,使用其仿真器对VHDL源代码进行仿真允许设计者不依赖于器件,容易发现设计中出现的问题。实现了设计与工艺无关,可移植性较好,面向市场时间快,成本低,性价比和ASIC移植等优点。

1.2 研究目的和意义

如今随着时代和科技日异月新的发展和突破,彩灯作为一种景观应用越来越多,现实生活中,彩灯作为一种装饰和艺术渲染工具,起到很好的广告宣传作用,为人们增添了节日气氛,也为人们的生活增添了一道亮丽的色彩。

在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计32路彩灯控制器的过程。随着科学技术的发展以及人民生活水平的提高,VHDL是一种应用较为广泛的

HDL语言,能对范围广泛的各种复杂的网络在不同的抽象级加以描述,而且在整个设计过程中可使用同一种语言。采用VHDL作为HDL综合设计的优点有:标准语言,即设计者可在不同环境下进行设计;仿真和综合均可采用同一种语言进行;VHDL中提供的大量的资源模块,简化了设计者的开发工作;由VHDL描述的源文件既是程序文件又可作为设计的文档。VHDL 为设计提供了更大的灵活性,使程序具有更高的通用性。EDA技术使得复杂的电子系统的设计变的简单易行,提高了设计效率,同时也提高了设计的灵活性、可靠性和可扩展性。伴随着计算机技术和微电子信息技术的飞速发展,EDA技术在现代数字系统设计中必然将发挥越来越重要的作用,同时也为大学生更好地认识社会提供了很好的机会。

2 设计内容

基于可编程逻辑器件CPLD芯片,配以适当的外围电路,设计一种32路16种变换花样的彩灯控制器,能以快慢两种频率循环变化,有清零开关。

1、用VHDL语言设计系统程序,并进行仿真测试;

2、该系统具有16种花型变化,演示的16种花型自拟;

3、系统演示以快慢两种频率(频率为0.25S和0.5S)循环变化;

4、系统有清零开关;

5、并设计其外围电路。

2.1 功能描述

本次设计的32路彩灯控制系统设定有十六种花样变化,整个系统共有三个输入信号:控制彩灯节奏快慢的基准时钟信号CLK ,系统清零信号CLR,彩灯频率快慢输出选择控制信号CHOSE_KEY;共有32个输出信号

LED[31..0],分别用于控制32路彩灯。在电路中以1代表灯亮,以0代表灯灭,由0,1按不同的规律组合代表不同的灯光图案,同时选择不同的频率,从而实现了图案花样快慢功能的循环变化。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。这十六种花样可以进行自动切换。

整个32路彩灯控制器分为两个模块部分,32路彩灯时序控制模块和32路彩灯显示控制模块。时序控制模块由振荡器、频率发生器和地址码产生器组成。其中振动器的功能是提供系统工作的主时钟。频率发生器的功能是提供快、慢两种频率脉冲PH、PL即,0.25S的脉冲信号和0.5S的脉冲信号,以此控制32路彩灯的快慢节奏变化,使彩灯明暗变换以快、慢两种频率自动交替运行。地址码产生器为频率发生器和(显示控制模块中的)编码电路提供控制信号,同步整个系统的工作,并根据系统运行情况,送频率产生器反馈信号,控制频率按快慢两种自动交替运行。

显示控制模块由编码发生器和驱动电路组成。显示控制模块的功能是使电路产生十六种不同花型并显示,以此实现本次课程设计要求实现的多路彩灯控制器的不同花型显示功能。其中编码发生器的功能是根据花型要求按频率输出32位状态编码信号,以控制彩灯按规律亮灭。驱动电路则提供彩灯工作所需的电压及电流, 隔离负载对编码电路的影响。

2.2 设计原理

用硬件描述语言VHDL进行设计,首先应该明确,VHDL语言作为一种全方位硬件描述语言 ,它包括了系统行为级,寄存传输级和逻辑门级多个设计层次。运用VHDL“自顶向下”的设计方法和层次化的设计概念来设计复杂的数字系统是十分有效地一种手段,它使得人们可以将复杂的单元转变成较简单的单元,从而逐渐构建成复杂而庞大的集成系统。

根据系统设计要求可知,整个系统的三个输入信号:控制彩灯节奏快

慢的基准时钟信号CLK,系统清零信号CLR,彩灯输出选择控制信号CHOSE_KEY;共有32个输出信号LED[31..0],分别用于控制32路彩灯。

我将整个彩灯控制电路分为了两大模块:时序控制电路模块SXKZ和显示控制电路模块XSKZ。32路彩灯控制系统工作原理如下:时序控制电路模块SXKZ根据输入信号CLK,产生的符合要求的、供显示控制电路模块XSKZ使用的控制时钟信号,而显示控制电路模块XSKZ则根据时序控制电路模块SXKZ输入的控制时钟信号,输出十六种花型循环变化,这些控制信号加上驱动电路一起控制彩灯工作。

首先应对系统进行模块的划分,规定每一模块的功能以及各个模块之间的接口。整个32路彩灯控制系统设计的结构框图如下所示。

图1. 32路彩灯控制结构框图

3模块设计及其功能

3.1 模块功能描述

时序控制模块的组成模块:振荡器、频率发生器、地址码产生器。时序控制模块的时钟信号CLK由外部输入至频率发生器,频率发生器产生由快慢两种脉冲合成输入频率信号到地址码产生器,再由地址码产生器输出选择控制信号CHOSE_KEY到频率发生器,控制快慢频率的转换。地址码产生器为频率发生器和编码电路提供控制信号,同步整个系统的工作,地址码产生器输出信号控制编码电路的各个子模块交替工作,以高、低电平控制彩灯的亮灭,按频率改变送给各路的高、低电平,即l、0 编码,产生16种不同花型,再由驱动电路将信号输出到彩灯。CLR为清零信号,由外部

输入到地址码产生器, CLR=1时,系统回到等待状态;CLR=0时,系统工作。

显示控制模块的组成模块:编码发生器和驱动电路。编码发生器:根据花型要求按频率输出32位状态编码信号,以控制彩灯按规律亮灭。驱动电路提供彩灯工作所需的电压及电流,隔离负载对系统工作的影响。3.2 时序控制电路设计

时序控制模块是本程序的时钟信号选择模块,它的功能是为系统提供快、慢两种频率脉冲,快频率0.25 秒、慢频率0.5 秒,以此控制32路彩灯的快慢节奏变化。时序控制模块在本电路中起着至关重要的作用,它以彩灯闪动快慢节奏的变化实现了多路彩灯绚丽多彩的花型节奏变化。时序控制电路是整个电路中一个分模块,它的设计对32路彩灯控制器设计的顺利完成起着决定性的作用。

快频率由振荡器直接传入,慢频率将振荡器输出的频率进行二分频得到。频率产生器输出频率脉冲clkout 送地址码产生器。频率选择信号chose_key 由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择,若第一次chose_key为低电平,则花型循环输出为慢频率,第二次chose_key变为高电平,花型循环输出为快频率,第三次chose_key又变为低电平,如此循环反复。

3.2.1振荡器

振荡器提供系统工作的主时钟。因彩灯控制器对定时要求不高,故选用简单易行的555 定时振荡器。系统彩灯明暗变换节拍为0.25 秒和0.5 秒,电路原理图如图2 所示。555定时器构成的多谐振荡器由3脚输出脉冲频率。

我们使振荡器振荡频率为f = 4Hz,图中电阻R1=8K,Rw=4.7K,R2=47K,电容C1=3.3 uF,振荡频率输出端OUT 送“频率产生器”的输入端。

图2. 振荡器原理图

3.2.2频率发生器

频率发生器为系统提供快、慢两种频率脉冲,快频率0.25 秒、慢频率0.5 秒。快频率直接由振荡器产生的频率传入,慢频率由快频率进行二分频得到。频率产生器输出频率脉冲clkout送地址码产生器。频率选择信号chose_key由地址码产生器产生,输入到频率发生器,控制快慢两种脉冲的选择。当chose_key 为低电平,则花型循环输出为慢频率,当chose_key 变为高电平,花型循环输出为快频率。以此类推,高低电平循环变化,从而达到快慢频率交替循环。

该模块 VHDL 程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity pinlv is --频率产生器

port ( clk: in std_logic; --传入分频器频率

chose_key : in std_logic; --频率选择信号

clkout : out std_logic); --输出频率

end entity pinlv;

architecture one of pinlv is

signal cnt: std_logic;

signal cllk: std_logic;

begin

f1: process(clk,chose_key,cnt,cllk) -- 将clk 时钟二分频,得到频率为0.5 秒的慢频率

begin

if clk'event and clk = '1'then

cnt <= not cnt;

end if;

if chose_key='1' then

cllk<=clk;

else

cllk<=cnt;

end if;

clkout<=cllk;

end process;

end;

图3. 频率发生器模块器件图

3.2.3地址码产生器

地址码产生器为频率发生器和编码电路提供控制信号,是整个系统重要组成部分。该部分电路组成部分为地址计数器和cnt信号分频控制。其中地址计数器利用进程P1: process(clkout,clr),根据频率产生器提供的频

率频clkout 产生地址码,完成地址累加,实现预定花型的循环显示。清零信号clr由外部输入到地址码产生器,当clr =1时,系统回到等待状态; clr=0时,系统工作。cnt信号记录系统运行的情况,32路彩灯控制器运行16种花型,花型循环一周共需272次,地址计数器将地址码累加到272,cnt 值为‘1’;地址码为其它值时,cnt 值为‘0’,利用进程P2:process( cnt )将cnt 信号进行二分频,使输出花型在第一次循环时,若频率选择信号chose_key 为低电平,则第二次花型循环时,chose_key为高电平,第三次花型循环,chose_key又为低电平,如此循环反复。地址码产生器产生的频率控制信号chose_key输入频率发生器,用来控制快慢两种脉冲频率。

该模块VHDL 程序如下

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity dzmcsq is --地址码产生器;

port ( clkout: in std_logic; --频率脉冲信号,由频率产生器传入

clr:in std_logic;

chose_key: out std_logic;--频率选择信号,送频率产生器

dout : out integer range 0 to 272);-- 地址码输出,16种花型运行一次272次

end entity dzmcsq;

architecture one of dzmcsq is

signal count: integer range 0 to 272;

signal cnt: std_logic;

begin

P1: process(clkout,clr) --产生地址码

if clr='1'then

count<=0;

elsif count=272 then

count <= 0;

cnt <= '1'; --16 种花型运行一次共272 次

elsif clkout'event and clkout='1' then

count <= count + 1;

cnt <= '0';

end if;

end process;

P2:process( cnt ) --将cnt 信号进行二分频,产生频率选择信号chose_key 值

variable count1 : std_logic;

begin

if cnt'event and cnt = '1'then

count1 :=not count1;

end if;

if count1 = '1' then

chose_key<= '1';

else

chose_key<= '0';

end if;

end process;

dout <= count;

图4. 地址码产生器模块器件图

3.3 显示控制电路设计

显示控制电路的模块框图如图所示,输入信号CLK和CLR的定义与时序控制电路一样,输入信号led[31...0]能够循环输出32路彩灯16种不同状态的花型。对状态的所对应的彩灯输出花型定义如下:

图5. 地址码产生器模块器件图

32路彩灯在多种花型之间的转换可以通过频率的改变而实现,当复位信号CLR有效时,彩灯恢复初始状态s0,否则,每个时钟周期,状态都将向下一个状态发生改变,并对应输出的花型,这里的时钟周期即时时序控制电路模块产生的输出信号,它根据CHOSE_KEY信号的不同得到两种快慢不同的时钟频率。

3.3.1编码发生器

编码电路根据花型要求按频率输出32位状态编码信号, 以控制彩灯按规律亮灭。地址码产生器将输出的控制信号送入编码发生器,编码发生

器根据高、低电平控制灯的亮灭,即l、0 编码,共产生16种花型。

该模块 VHDL 程序如下

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity bmfsq is

port ( dout: in integer range 0 to 272;

qout : out std_logic_vector(31 downto 0));-- 输出32 位状态编码

end entity bmfsq;

architecture one of bmfsq is

begin

process(dout)

begin

case dout is

When 0 => qout <= ""; --S1

when 1 => qout <= "";

when 2 => qout <= "";

when 3 => qout <= "";

when 4 => qout <= "";

when 5=> qout <= "";

when 6 => qout <= "";

when 7 => qout <= "";

when 8 => qout <= "";

when 9 => qout <= "";

when 10 => qout <= "";

When 12 => qout <= "";

When 13 => qout <= "";

When 14 => qout <= "";

When 15 => qout <= "";

When 16 => qout <= "";

when 17 => qout <= ""; --S2 when 18 => qout <= "";

when 19 => qout <= "";

when 20 => qout <= "";

when 21 => qout <= "";

when 22 => qout <= "";

when 23 => qout <= "";

when 24 => qout <= "";

when 25 => qout <= "";

when 26 => qout <= "";

when 27 => qout <= "";

when 28 => qout <= "";

when 29 => qout <= "";

when 30 => qout <= "";

when 31 => qout <= "";

When 32 => qout <= "";

when 33 => qout <= "";

when 34 => qout <= ""; --S3

When 36 => qout <= "";

when 37 => qout <= "";

when 38 => qout <= "";

when 39 => qout <= "";

when 40 => qout <= "";

when 41 => qout <= "";

when 42 => qout <= "";

when 43 => qout <= "";

when 44 => qout <= "";

when 45 => qout <= "";

when 46 => qout <= "";

when 47 => qout <= "";

when 48 => qout <= "";

When 49 => qout <= "";

when 50 => qout <= "";

when 51 => qout <= ""; --S4 when 52 => qout <= "";

when 53 => qout <= "";

when 54 => qout <= "";

when 55 => qout <= "";

when 56 => qout <= "";

when 57 => qout <= "";

when 58 => qout <= "";

when 59 => qout <= "";

同济大学本科生毕业设计(论文)工作的若干规定

苏州农业职业技术学院 毕业论文(设计)工作规范 (讨论稿) 为了进一步规范我院毕业论文(设计)工作,全面提高毕业论文(设计)质量,特制定本规范。 一、毕业论文(设计)的教学目的 毕业论文(设计)是实现培养目标的重要教学环节,是检验学生综合运用所学的基础理论、专业知识和基本技能,提高分析与解决实际问题的能力,培养创新能力和实践能力的重要途径之一。毕业论文(设计)的教学目标是培养学生以下几个方面的能力:调查研究、查阅中外文献和收集资料的能力;理论分析、制定设计或试验方案的能力;设计、计算和绘图的能力;实验研究和数据处理的能力;综合分析、总结提高、编制设计说明书及撰写科技论文的能力;外语、计算机应用能力。 二、毕业论文(设计)选题 选题恰当是做好毕业论文(设计)的前提,指导教师在选择毕业论文(设计)课题时应遵循以下原则: 1.课题的选择应符合专业培养目标,达到毕业论文(设计)教学大纲的基本要求。 2.课题的选择应与所学专业及岗位需求紧密结合,以利于增强学生的职业意识,培养学生严谨的科学态度和一丝不苟的工作精神。 3.课题的选择应贯彻因材施教的原则,使学生在原有的水平和能力方面有较大的提高,并鼓励学生有所创新。 4.毕业论文(设计)一般可分为工程设计(实践)、理论研究、实验研究、调查研究、计算机软件设计和综合类型。 5.毕业论文(设计)课题确定后学生可在指导老师指导下,采取自选与分配相结合的办法,决定自己毕业论文(设计)的课题。可以多个学生共同做一个课题,但必须每个学生都有自己独立完成的任务,分工要明确,工作量要适当。学生除了在导师提出的课题中选择毕业论文(设计)课题外,也可根据本专业特点选择自己实践中感兴趣的实际问题作为毕业论文(设计)课题,但必须经指导教师审定。 三、选题、审题工作程序及要求 1.毕业论文(设计)课题由指导教师提出,并以书面形式陈述课题来源、内容、难易程度、工作量大小等情况,经教研室讨论审定后,由指导教师填写毕业论文(设计)任务书。任务书必须认真填写,除提供完成毕业论文(设计)必要的内容、要求与数据、应完成的工作外,还要按各环节拟定阶段工作进度,列出参考文献目录。由多个学生共同完成的课题,应明确各个学生独立完成的工作内容。

软件工程毕业设计说明书内容

1 引言 1.1 课题的提出 近年来随着计算机科学技术的高速发展,计算机技术也被广泛应用在我们生活的诸多领域,当然它在高校的信息化进程中也发挥着重要作用。通过先进的计算机网络技术管理高校资源,不仅提高了工作效率,而且提高了管理水平,更提高了服务质量[1]。 高校校友是一个知识体系密集、信息资源丰富、社会能力强的群体,是对自身母校有着特殊感情的群体,是潜藏在母校之外的独有的重要宝贵资源,它以桥梁和纽带的角色有效建立起学校和社会之间的联系,在学校的发展过程中发挥着重要的作用[2,3]。 1.2 课题的现状及其发展 目前世界上各种形式的校友录网站大约28万多个之多,大致有以下几类:1)以收费方式分,有收费校友录和免费校友录,其中以后者居多;2)以提供校友录服务的网站分大致有三种,有大专院校自己网站的校友录;有专门单独的校友录网站;有综合网站上的校友录;3)还有网络校友录和手机校友录之分[4]。 中国校友录发展现状:下面选取两个具有代表性的校友录来看看中国校友录网站发展的具体情况。1)中国人校友录是目前各种校友录中最具代表性、权威性的校友录。它有完善的界面服务,在校友录基本的留言、相册等功能之上,中国校友录还开通了手机校友录,同学大搜捕,星级会员等增值服务。还包括了其他信息服务内容,可以进行天气预报,股市,热点新闻的信息定制,并针对毕业班同学为他们提供全面就业信息及咨询。2)世纪同学录,现有注册用户440914人,注册班级120864个(数据截止到2004年1月10日)[5]。 1.3 本课题的主要工作 本次课题设计的是中北大学校友录管理系统,主要工作任务是实现以下系统功能:校友成员注册、登录、留言,上传并浏览照片、通讯录、系统后台管理。具体实现:校友数据的添加、修改、删除、和查询,已完成校友数据的收集及进行数据电子化;用户之间的互动,包括上传照片,相互留言,查看信息;对中北大学校友录管理系统的用户权限进行管理,以保证数据资源的合理利用。通过提供完善的校

大连理工大学本科生毕业设计(论文)文本格式要求

大连理工大学本科毕业设计(论文)大连理工大学本科毕业设计(论文)题目 业: 学生姓名: 学号: 指导教师: 评阅教师: 完成日期: 大连理工大学 Dalian University of Technology

摘要 “摘要”是摘要部分的标题,不可省略。 标题“摘要”选用模板中的样式所定义的“标题1”,再居中;或者手动设置成字体:黑体,居中,字号:小三,1.5倍行距,段后11磅,段前为0。 摘要是毕业设计(论文)的缩影,文字要简练、明确。内容要包括目的、方法、结果和结论。单位采用国际标准计量单位制,除特别情况外,数字一律用阿拉伯数码。文中不允许出现插图。重要的表格可以写入。 摘要正文选用模板中的样式所定义的“正文”,每段落首行缩进2个汉字;或者手动设置成每段落首行缩进2个汉字,字体:宋体,字号:小四,行距:多倍行距 1.25,间距:段前、段后均为0行,取消网格对齐选项。 摘要篇幅以一页为限,字数为400-500字。 摘要正文后,列出3-5个关键词。“关键词:”是关键词部分的引导,不可省略。关键词请尽量用《汉语主题词表》等词表提供的规范词。 关键词与摘要之间空一行。关键词词间用分号间隔,末尾不加标点,3-5个;黑体,小四,加粗。

The Subject of Undergraduate Graduation Project (Thesis) of DUT Abstract 外文摘要要求用英文书写,内容应与“中文摘要”对应。使用第三人称,最好采用现在时态编写。 “Abstract”不可省略。标题“Abstract”选用模板中的样式所定义的“标题1”,再居中;或者手动设置成字体:Times New Roman,居中,字号:小三,多倍行距1.5倍行距,段后11磅,段前为0行。 标题“Abstract”上方是论文的英文题目,字体:Times New Roman,居中,字号:小三,行距:多倍行距 1.25,间距:段前、段后均为0行,取消网格对齐选项。 Abstract正文选用设置成每段落首行缩进2字,字体:Times New Roman,字号:小四,行距:多倍行距 1.25,间距:段前、段后均为0行,取消网格对齐选项。 Key words与摘要正文之间空一行。Key words与中文“关键词”一致。词间用分号间隔,末尾不加标点,3-5个;Times New Roman,小四,加粗。 Key Words:Write Criterion;Typeset Format;Graduation Project (Thesis)

河南科技大学毕业设计说明书(论文)的格式规范

毕业设计说明书(论文)的格式规范 毕业设计说明书(论文)的格式、图纸绘制、实验数据、各种标准的运用和引用都要符合各学科、各专业国家标准的规定。毕业设计说明书(论文)应采用汉语(外语专业用外语)撰写,一律使用计算机编辑,用A4规格纸输出,页面设置上、下页边距 2.54厘米,左、右页边距 2.5厘米,装订线1厘米,文档网络设为小四号宋体,指定行网络和字符网络,每行33个字符,每页31行,栏数为1,页码置于页面的底部并居中放置,页码从前言开始到论文最后。页眉统一为宋体小五,右对齐,内容为“河南科技大学毕业设计论文”或“河南科技大学毕业设计说明书”,下有一横线,从中文摘要开始到论文最后。用统一封面装订成册。 一、毕业设计说明书(论文)各部分的具体要求 1. 封面 2. 任务书论文内容全部打印,栏内字体、字号统一,全部采用单倍行距。上部的填表日期统一为年月日,设计说明书中文字数更改为12000字,中文摘要400-500字。 3. 中英文摘要 (1) 毕业设计(论文)中文题目为三号黑体字,可以分成1或2行居中打印。 (2) 中文题目下空一行居中打印“摘要”二字(三号黑体),字间空一格。 (3) “摘要”二字下空一行打印摘要内容(小四号宋体)。每段开头空二格。 (4) 中文摘要内容下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体)。关键词数量为4-6个,每一关键词之间用逗号分开,最后一个关键词后不打标点符号。 (5) 英文摘要题目采用三号大写字母(黑体),可分成1-3行居中打印。每行左右两边至少留2个字符空格。 (6) 英文题目下空二行居中打印“ABSTRACT”(大写三号黑体),再下空二行打印英文摘要内容。

大学本科生毕业设计(论文)工作条例【模板】

XX大学本科生毕业设计(论文)工作条例 毕业设计(论文)是教学计划中最后一个重要的教学环节,是提高本科生知识、能力、素质的关键步骤,是学生毕业资格、学士学位认定的一个重要依据,是高等教育质量的重要评价内容。做好毕业设计(论文)工作,对提高本科毕业生全面素质具有重要的意义。为此,对本科生毕业设计(论文)工作制定如下条例。 一、目的 1. 毕业设计(论文)是培养学生综合运用所学知识和技能分析与解决实际问题的能力,培养学生勇于探索的创新精神实践能力和创业能力,初步形成融技术、经济、环境、市场、管理于一体的大工程意识,以及严肃认真的科学态度和严谨求实的工作作风。 2. 毕业设计(论文)的时间严格按照教学计划执行,提倡让学生尽早参加教师的科研课题。 3. 毕业设计(论文)应重视培养学生的创新意识和创新精神,并完成以下基本能力的培养 (1)资料、信息的获取及分析、综合的能力。 (2)方案论证、分析比较的能力。

(3)实验、动手的能力。 (4)使用网络和计算机(包括索取信息、计算机绘图、数据处理、基本应用等)的能力。 (5)论文撰写、答辩的能力。 二、选题 XX大学任职的教学、科研人员和校外科研、企业有关人员有权提出毕业设计(论文)课题。鼓励并提倡学生发挥主动性,提出自己的设想,在教师指导下,共同商定课题。 1. 毕业设计(论文)选题应遵循以下原则 (1)课题必须符合本专业培养目标及教学基本要求,体现本专业基本教学内容,使学生受到全面综合训炼。 (2)课题尽可能结合生产、科研任务或社会热点问题,符合经济建设和社会发展的需要,真题真做。 (3)选题应力求有益于学生综合运用所学的理论知识与技能,有利于学生独立工作能力、创新和创业能力的培养。 (4)课题的难度和工作量要适当,课题名称应与内容相符,不能大题目小内容,应在教学计划规定的时间内,

山东建筑大学本科毕业设计说明书(论文)的撰写格式模版

附件2: 本科毕业设计说明书 (本科毕业论文) 题 目:空腹桁架钢框架结构 受力性能分析及试验研究 院 (部)专 班 级: 土木013 姓 名: 张三 学 号: 2001888888 指导教师: 张九光 完成日期: 2005年6月30日

目 · ················ ····························Ⅲ ABSTRACT ·······································Ⅳ 1 前 言 1.1 空腹桁架钢框架的特点及研究意义....................1 1.2 空腹桁架钢框架的研究现状..............................................3 1.3 现有研究的不足及本文的研究内容. (5) 2 空腹桁架钢框架有限元建模及验证 2.1 引言..................................................................8 2.2 弹塑性分析方法简介...................................................12 2.3 ANSYS 在空腹桁架钢框架弹塑性分析中的应用............................18 2.4 ANSYS 分析模型正确性检验............................................20 2.4.1 ANSYS 分析模型概述.........21 2.4.1.1 ANSYS ........21 2.5 小结. (21) 3 3.1 引言.................................................................23 3.2 空腹桁架钢框架与普通钢框架力学性能对比...............................26 3.3 影响空腹桁架钢框架力学性能的因素. (29) 4 空腹桁架钢框架极限承载力试验研究 4.1 试验目的·····························································30 4.2 模型设计依据·························································32 4.3 试验概况·····························································35 4.4 试验过程描述·························································38 4.5 试验结果·························

清华大学的建筑学课程表

清华大学 一年级 秋季学期 性质名称学分周学时考试/考查说明 必修集中军训 2 3 考查排在上课前3周 必修体育(1) 1 1+1* 考查*为课外环节 必修思想道德修养 2 2 考查 必修英语(1) 4 4 考试参加学校分级考试 必修高等数学(1) 5 5 考试建筑学院开课 必修素描(1) 4 4 考查 必修计算机文化基础 2 2 考查 必修建筑设计(1.1) 6 6+2* 考查必修其一*为课外环节必修建筑设计(1.2) 6 6+2* 考查 必修建筑设计(1.3) 6 6+2* 考查 必修画法几何与阴影透视 2 2 考试 必修可持续发展与环境保护概论 1 1 考查 29 26 未含集中军训学时 春季学期 性质名称学分周学时考试/考查说明及主要先修课 必修毛泽东思想概论 3 2+1* 考试*为课外环节 必修体育(2) 1 1+1* 考查*为课外环节 必修英语(2) 4 4 考试参加学校水平考试 必修高等数学(2) 5 5 考试建筑学院开课 必修素描(2) 4 4 考查 必修建筑技术概论 1 1 考试 必修建筑设计(2.1) 6 6+2* 考查必修其一*为课外环节必修建筑设计(2.2) 6 6+2* 考查 必修建筑设计(2.3) 6 6+2* 考查 选修 2 2 选修课 26 25 夏季学期 性质名称学分周学时考试/考查说明及主要先修课 必修渲染实习1+1* 2周*为课外环节 必修素描实习 1 1 2 3 第一学年学分总计:29+26+2=57 二年级 秋季学期

性质名称学分周学时考试/考查说明及主要先修课 必修马克思主义政治经济学原理 3 2+1* 考试*为课外环节 必修体育(3) 1 1+1* 考查*为课外环节 必修英语(3) 4 4 考试参加学校水平考试 必修水彩(1) 4 4 考查 必修建筑构造(1) 2 2 考试 必修中国古代建筑史 3 3 考试 必修建筑设计基本原理(1) 1 1 考查 必修建筑设计(3.1) 6 6+2* 考查必修其一*为课外环节 必修建筑设计(3.2) 6 6+2* 考查 必修建筑设计(3.3) 6 6+2* 考查 选修 3 3 选修课 27 26 春季学期 性质名称学分周学时考试/考查说明及主要先修课 必修邓小平理论概论 3 2+1* 考试*为课外环节 必修体育(4) 1 1+1* 考查*为课外环节 必修水彩(2) 4 4 考查 必修建筑力学 4 4 考试 必修外国建筑史 3 3 考试 必修建筑设计基本原理(2) 1 1 考查 必修建筑设计(4.1) 6 6+2* 考查必修其一*属课外环节 必修建筑设计(4.2) 6 6+2* 考查 必修建筑设计(4.3) 6 6+2* 考查 选修 3 3 选修课 25 24 夏季学期 性质名称学分周学时考试/考查说明及主要先修课 必修水彩实习 2 2周教师辅导2周,自己画1周,交暑假作业必修测量实习 1 1周新开课 3 3 第二学年学分总计:27+23+5=55 三年级 秋季学期 性质名称学分周学时考试/考查说明及主要先修课 限选体育专项(1) 1 必修CAAD方法 2 2+1* 考试*属课外环节

重庆大学本科毕业设计(论文)

重庆大学本科学生毕业设计(论文) 浅析环境心理学在现代商业展示设计中的运用 学生:崔海侠 学号:20070309 指导教师:杨定强 专业:艺术设计(视觉传达方向) 重庆大学艺术学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University Analyses the Environmental Psychology Application of Modern Commercial Display Design Undergraduate: Cui Haixia Supervisor:Yang Dingqiang Major:ArtDesign(visualcommunication direction) College of Arts Chongqing University June 2011

摘要 随着展示设计学科的不断完善,环境心理学在展示设计中的重要作用日益显现,并且成为现代展示设计人性化设计的指导理论之一。是为了了解人在不同展示环境下的心理和行为,科学而艺术地把握展示环境设计中各要素关系,创造符合人们心理需要的展示空间环境。环境心理学在展示设计中的应用,与以往相比,更加强调“以人为本”的设计理念,强调以人的感受作为设计的终极目标。我们研究人在环境中的行为、心理及它们之间的关系和相互作用的目的就在于:了解生活中人们的行为、心理倾向,从而使我们对人环境的关系、对怎样创造展示空间环境,都应具新的更为深刻的认识,使其及时地反馈到我们展示空间的设计中去,合理的组织空间,设计好界面、颜色和光照,创造出功能合理、舒适优美、满足人们物质和精神生活需要的展示环境。 关键词:环境心理学展示设计

南通大学毕业设计(论文)管理系统使用说明

南通大学毕业设计(论文)管理系统使用说明

————————————————————————————————作者:————————————————————————————————日期:

南通大学毕业设计(论文)管理系统使用说明 一、基本情况说明 毕业设计是实现大学本科培养目标的一个重要教学环节,是使学生将所学基础理论、专业知识与技能,加以综合、融会贯通并进一步深化和应用于实际的一项基本训练。毕业设计(论文)工作是使学生综合运用所学知识和技能,理论联系实际,独立分析和解决问题,为从事工程技术、经济管理和科学研究工作进行的基本训练过程。 为了使我校毕业设计的管理工作带来便利,由教务处组织、相关学院参与开发了南通大学毕业设计管理系统,使教师、学生和管理部门都能够方便地利用该系统完成毕业设计管理任务。 目前该系统处于试运行阶段,如在使用中遇到什么问题,请大家予以谅解,并及时和实践科联系。 二、系统功能介绍 该系统主要包括教师模块、学生模块、教务员模块及管理模块。 系统主要功能有: (1)教师、学生个人信息维护; (2)教师指导课题的申报、维护; (3)学生选题; (4)指导老师录取学生; (5)指导老师所指导毕业设计的相关文档的上传与下载; (6)教学秘书各类相关信息的汇总、查询、维护、输出等。 关于模板下载: 本管理系统中,毕业设计的所有相关文档(立题卡、任务书、开题报告、中期检查表、毕业设计(论文)、翻译、成绩评定表)都提供模板下载并可保存在服务器上,方便教师的管理。下载的模板在通用模板的基础上自动加入了教师姓名、课题名称、学生姓名等信息,以便保证文档中的基本信息始终一致,准确无误。各学院可根据自己的具体管理要求在下载的模板上进行修改,注意:不要改变下载模板文件的“文件名”,否则,如果上传的话,就会出错(服务器会检查文件名是否准确,以防止上传了别的文件)。 关于用户角色: 系统用户按角色管理,用户角色有教师、学生、教务员、各级领导等。教务员角色由教务处设置,其他人员角色由各学院教务员设置。 用户登录后,系统根据用户的角色,提供相应的可用功能菜单,该用户其他不可使用的功能菜单设为灰色。 系统工作基本流程如下图所示:

清华大学 计算机科学与技术专业 本科毕业设计

数据结构分析及算法研究 一.数据结构概述 当今时代是一个以信息技术(Information Technology,简称IT)为代表的知识经济时代,计算机技术和信息科技的发展更是日新月异,从各个方面影响和改变着我们的生活,而其中的计算机网络技术的发展更为迅速,已经渗透到了我们生活的各个方面,人们已经离不开计算机网络,并且随着因特网的迅速普及,给我们的学习与生活条件带来更大的方便,我们与外部世界的联系将更加的紧密和快

速。 随着人们对于信息资源共享以及信息交流的迫切需求,促使网络技术的产生和快速发展,计算机网络的产生和使用为人类信息文明的发展带来了革命性的变化。随着计算机网络管理功能的强化,计算机硬件技术和软件技术都与网络技术融合到一起,近几年来应用程序的开发更发展到以WEB门户网站为界面,以与后台网络分布式数据库和实时交互操作的程序库,共同组成网络环境下的三层架构模式,这成了计算机应用程序开发模式的主流趋势。 本次石景山卫生局网络建设项目是以局域网为依托,架构办公自动化、信息传播、疾病控制和有关智能化系统等运行平台,为用户提供先进的办公、数据共享、信息传播和学术交流手段,实现各系统之间的信息交流和信息资源共享,实现内部局域网与Internet以及区卫生所专网的连接。

第一章计算机局域网概述 系统的理解网络理论对于掌握网络技术是十分必要的,本论文中仅对于相关技术作简单的介绍,便于读者阅读。 一.计算机局域网 “网络就是计算机”,计算机网络已经在企业、事业、学校、政府机关等地方成为不可缺少的工具。对网络的进一步定义是: “至少有两个具有共享需求的个体;至少有一种方法或通路使其个体互连;至少有一种规则使两个或两个以上个体相互传信。”个体指计算机硬件和软件、方法或通路指连接与传输媒介、规则指网络通信协议。网络在不同的阶段有不同的含义,定义也会被修改,但几十年的变迁有一点始终不改,那就是“资源”的共享,包括软件资源、硬件资源、数据和服务资源的共享,它是组网的原始动力。 1.计算机网络的分类 网络按照传输距离可以分为一下3种: 1)局域网(Local Area Networks,简称LAN)。 局域网的传输距离较短一般从几米到几公里,往往用于一个单位,比如一个公司、一个政府部门等。局域网的优点是传输速率高,往往可以达到百兆或千兆,局域网的另一个优点是传输信号质量高,误码率低,传输时延小。 2)广域网(Wide Area Networks,简称WAN)。 广域网也成为远程网,传输距离为几百公里甚至更远,一般跨城市甚至国家。广域网往往会用多种通信介质,比如光纤、微波中继、卫星通信与电力载波等。如何在广域网上保证网络访问的安全,是目前网络技术的关键和核心技术领域之一。 3)城域网(Metropolitan Area Network,简称MAN)。 城域网介于LAN和WAN之间,传输距离由几公里到十几公里,“城域网”可以理解为一个城市范围内的网络,事实上目前的城域网通常以高速环网为核心架构一个城市的主干高速通信网。

清华大学建筑课程

一,建筑系的所有课程 画法几何与阴影透视,建筑设计初步,素描,色彩,建筑设计,建筑材料,建筑力学,理论力学,材料力学,建筑构造,公共建筑设计原理,建筑物理,CAD,3dmax,专业外语,土木工程施工,建筑工程经济,建筑设备,园林设计原理,外国建筑史,中国古代建筑史,建筑防火设计,建筑节能,城市规划原理,城市空间设计,居住区规划与住宅设计。其中建筑设计是主课. 二,建筑学专业课程简介 课程编号:TJ030010 课程名称:素描 课程学分:4 学时:64 开课学期: 1 考核方式:考查 课程目标:通过本课程的学习,为学生学习建筑设计打下扎实的美术基本功。 主要内容:本课程通过对不同的静物有针对性地进行写生,学习形体透视、基本构图、比例的协调、体积感的把握、表达空间感、质感、量感,用不同的形式来进行表达,为建筑设计的学习培养良好的审美情趣。 使用教材:《素描》,周若兰编,建筑工业出版社。 参考书目:《素描基础》,周度其编,广西美术出版社。 课程编号:TJ030020 课程名称:色彩 课程学分:4 学时:64 开课学期: 2 考核方式:考查 课程目标:通过本课程的学习,为学生学习建筑设计打下扎实的美术基本功。 主要内容:本课程通过对不同的静物有针对性地进行写生,掌握色调变化规律,增强色彩感觉,提高色彩搭配能力,表达色彩的空间感、质感、量感,用不同的形式来进行练习,为建筑设计的学习培养良好的审美情趣。 使用教材:《水粉》,漆得琰编,建筑工业出版社。 参考书目:《色彩静物写生》,周度其编,广西美术出版社。

课程编号:TJ030060 课程名称:平面构成 课程学分:1 学时:16 开课学期: 1 考核方式:考查 课程目标:学生通过理论教学和实验掌握平面构成的构成要素、形式要素、材料要素、技术要素。通过训练可以充分启迪学者的思维力、想象力和组织形态,获得新的感性知识和创作领域的开拓,从而提高创造能力,为后面学习室内设计、建筑设计等专业课程打下良好的基础。 主要内容:构成的概述;平面构成的基本要素;点、线、面、的构成及形式法则;材料的要素;技术要素;练习的程序;场地、设备和工具的使用方法。 使用教材:《平面构成》,中国美术学院出版社,2003年9月。 参考书目:1.《平面构成设计》,李槐清,河北美术出版社。 2.《平面构成》,夏镜湖,西南师范大学出版社。 课程编号:TJ030070 课程名称:色彩构成与立体构成 课程学分:2.5 学时:40 开课学期: 2 考核方式:考查 课程目标:通过本课程的学习,培养学生在二维构成的基础上提升为三维空间的立体造型,培养他们对立体形态的设计,空间构成和立体构成的构成形式,对立体材料的学习,线材、面材、块材的加工方法和设计技巧、设计思路及设计创意。 主要内容:色彩构成部分是探讨利用色彩要素的搭配交变获得色彩审美价值的原理、规律、法则、技法的学说。立体构成是研究立体造型和形态的学科。色彩构成主要内容包括色彩构成、色彩三属性、色彩补性特性、色彩混合、色彩心理、结构色彩、色彩的节奏和色彩的调和;立体构成主要内容包括立体构成观、构成要素、形式要素、材料要素、技术要素和练习程序(点五维构成、线立体构成、面立体构成、块立体构成和线、面、块综合构成)。 使用教材:1.《色彩构成》,钟蜀珩编,中国美术学院出版社。 2.《立体构成》卢少夫编,中国美术学院出版社。 参考书目:1.《色彩构成》,赵国志编,辽宁美术出版社。

同济大学本科生毕业设计(论文) 工作的若干规定

同济大学本科生毕业设计(论文) 工作的若干规定 (同教[2007]20号) 为了进一步规范我校毕业设计(论文)工作,全面提高毕业设计(论文)质量,特制定本规定。 一、毕业设计(论文)目的与要求 毕业设计(论文)教学目的是培养学生具备综合运用所学的基础理论、专业知识和基本技能,分析与解决实际问题的能力;使学生得到从事实际工作所必需的基本训练和进行科学研究工作的初步能力。毕业设计(论文)作为培养学生创新精神和实践能力的一次较为系统的训练,应注重以下几方面能力的培养: 1.调查研究、查阅和应用中外文献及采撷网络信息的能力; 2.理论分析、制定设计或试验方案的能力; 3.设计、计算及制图的能力; 4.实验研究及数据处理的能力; 5.综合分析、凝练创新、编制设计说明书或撰写论文、调研报告的能力; 6.外语、计算机应用的能力。 二、毕业设计(论文)选题原则 选题恰当是做好毕业设计(论文)的前提,指导教师在选择毕业设计(论文)课题时应遵循以下原则: 1.课题的选择应符合专业培养目标,达到毕业设计(论文)教学大纲的基本要求。 2.课题的选择应体现教学与生产、科研、文化和经济发展相结合的原则,即选题在符合毕业设计(论文)教学要求的前提下,应尽量结合生产实际、科学研究、现代文化、经济建设的任务进行,以利于增强学生面对实际的意识,培养学生严谨的科学态度和一丝不苟的工作精神,调动学生的积极性,增强责任感和紧迫感。 3.课题的选择应贯彻因材施教的原则,使学生的特长或潜能有更好的发挥,并鼓励学生有所创新。 4.选题的范围和深度应符合学生的实际情况,并尽可能多地反映现代科学技术发展水平。提倡不同专业(学科)互相结合,扩大专业面,开阔学生视野,实现学科之间的互相渗透。 5.毕业设计(论文)按不同学科类型分别有所侧重: (1)工科类专业毕业设计(论文)结合工程实践性课题的比例应不低于70%,首先应保证基本工程训练,在此基础上做一些提高性的、拓展性的专题研究; (2)理科类专业毕业设计(论文)要结合当前的科技发展,让学生走向学科前沿,论文要有一定的学术水平; (3)经管、人文、法学、外语、艺术类专业毕业论文(设计)要有新颖性,要结合社会、经济、文化发展中的现实问题、让学生接触社会,论文要有一定的新意或创见。 6.毕业设计(论文)课题应遵循“一人一题”的原则。课题经院(系)领导审定后,学生可在教师指导下,采取自选与分配相结合的办法,确定毕业设计(论文)课题。可以几名学生共同完成一个大课题,但必须做到分工明确,工作量适当,并根据各自独立完成的任务,给出课题名称或分别在原课题名称后加副标题以示区别。学生除了在导师提出的课题中选择毕业设计(论文)课题外,也可以根据专业特点选择自己感兴趣的题目作为毕业设计(论文)课题,但必须经指导教师审定。 三、审题工作程序及要求

湘潭大学毕业设计说明书模板 (1)

湘潭大学 毕业设计说明书题目: 学院: 专业: 学号: 姓名: 指导教师: 完成日期:

此处装订三个表,依次是: 2.毕业论文(设计)任务书 3.毕业论文(设计)评阅表 4.毕业论文(设计)鉴定意见

目录 一、引言(不另外写综述,综述放在引言部分) (1) (一) 引言应包括的基本内容 (1) 二、文章层次关系 (1) 三、论文(设计说明书)编排顺序及字体 (1) 四、图表公式 (2) 五、要求 (2) 六、参考文献格式 (2) 图目录 图1自适应的视频流传输模型(五号宋体,居中) (2) 表目录 表1表格题目(5号宋体,居中排) (2)

中文题目 摘要:中文摘要内容,主要由3部分组成:待解决的问题、过程及方法、结论。一般为200字左右为宜。中文摘要编写要求:①摘要中应排除本学科领域已成为常识的内容;②切忌将应在引言中出现的内容写入摘要,一般不要对论文内容作诠释和评论(尤其是自我评价);③用第三人称,不必使用“本文、作者”、“我们、笔者等字样作为主语,以方便编辑刊用;④缩略语代号,除了相邻专业读者也能清楚理解的以外,在首次出现时必须加以说明。 关键词:关键词1; 关键词2; 关键词3(各词间用分号“;”隔开) Title 英文题目 Abstract:Abstract,与中文摘要对应 Keywords:Keyword;与中文关键词对应,“;”隔开

一、引言(不另外写综述,综述放在引言部分) (一) 引言应包括的基本内容 1.叙述撰写该论文的目的和现实意义 2.叙述该选题的国内外研究现状,有何代表性的研究成果或研究进展情况等 3.叙述选题的主要观点、研究方法(技术路线)、创新之处等 二、文章层次关系 文章层次关系按如下方式: 一、 (一) 1. 1) 二、 1. 等 按照上面的层次关系写,所有标题行前面空两格,标题尾不加标点符号,一级标题(宋体、3号字、加粗);二级标题(宋体、4号字、加粗)。 三、论文(设计说明书)编排顺序及字体 1.封面 (1)湘潭大学、毕业论文(或毕业设计说明书)(隶书、小初号字、加粗) (2)题目、学院、专业、学号、姓名、指导教师、完成日期(宋体、3号字、加粗) 2.毕业论文(设计)任务书 3.毕业论文(设计)评阅表 4.毕业论文(设计)鉴定意见(以上参见教务处网页) 5.目录 各章节标题(宋体、4号),其余(宋体、小4号)。 6.中文题目、摘要、关键词;英文题目、摘要、关键词 中文题目(宋体、3号字、加粗),中文摘要、关键词(宋体、5号字,“摘要”、“关键词”五个字用宋体、小4号字、加粗);英文题目(Times New Noman, 3号字),Abstract、Keywords(Times New Noman, 小4号字)。 7.正文(含引言) 宋体、小4号字 8.注释、参考文献 宋体、5号字,注释一般用①,②,…表示,参考文献一般用[1],[2],….表示。

清华大学建筑设计研究院办公楼

清华大学建筑设计研究院办公楼设计楼从1997年开始进行策划,按照设计意图可归纳成缓冲层策略,利用自然能源策略,健康无害策略和整体设计策略。 针对绿色化目标,设计小组在建筑设计和设备采用了多层次的设计策略,在遮阳、防晒、隔热、通风、节电、节水、利用太阳能、楼宇自动化、绿化引入室内采取大量具体措施。 总体设计介绍: 设计楼建筑平面基本呈长方形,设计紧凑、完整减少了冬季建筑

的热损失。长轴为东西方向,楼、电梯间与门庭、会议室等非主要工作室,布置在建筑的东西两侧,缓解了东西日照对主要工作区域的影响。工作空间划分为大开间开敞式设计工作室区域与小开间办公室,其可以根据不同功能需要加以安排,使工作室的布置具有一定的灵活性。建筑南向是一个3层高的绿化中庭,不但能为员工提供一个生机勃勃的良好景观与休息活动空间,而且可以有效地缓解外部环境对办公空间的影响。 缓冲层策略:热缓冲中庭(边庭) 在的设计中比较明显的算是在南向的一个体积较大的绿化中庭。虽然那只是一个位于建筑南部的边庭,但是其物理功能内涵较之传统的位于建筑内心的中庭要丰富。其基本概念如图所示:在冬季,该中庭是一个全封闭的大暖房。在“温室作用”下,成为大开间办公环境的热缓冲层,有效地改善了办公室热环境并节省供暖的能耗。在过渡季节,它是一个开敞空间,室内和室外保持良好的空气流通,有效的改善了工作室的小气候。在夏天,中庭南窗的百页遮阳板系统能有效的遮蔽直射阳光,使中庭成为了一个巨大的凉棚。中庭南侧为全玻璃外墙,上部开设了天窗,从而利用中庭顶部的反射装饰板,保证开敞办公室的天然光利用。设计小组还认为中间的“光廊”采用了一部分天空光线,帮助提高设计室的天光照度。

北大论文格式

北大论文格式 【篇一:北大硕士论文格式】 北京大学公共管理硕士学位论文撰写格式与标准学位论文是研究生 培养质量和学术水平的集中体现。高质量、高水平的学位论文不仅 要在内容上有创造性和创新性,而且在表达方式上应具有一定的规 范性和严谨性。为此,特作如下规定。 一、学位论文版式、格式 1、论文开本及版芯 版芯要求:左边距:30mm,右边距:25mm,上边距:30mm,下边距:25mm,页眉边距:23mm,页脚边距:18mm 2、论文用中文撰写 3、标题:论文分三级标题 一级标题:黑体,三号或16pt,段前、段后间距为1行 二级标题:黑体,四号或14pt,段前、段后间距为1行 三级标题:黑体,小四号或12pt,段前、段后间距为1行 上述段前、段后间距可适当调节,以便于控制正文合适的换页位置; 4、正文字体:正文采用小四号宋体,行间距为18磅;图、表标题 采用五号黑体;表格中文字、图例说明采用小五号宋体;表注采用 六号宋体; 5、页眉、页脚文字均采用五号宋体,页眉左侧为“北京大学公共管 理硕士学位论文”,右侧为一级标题名称;页眉下横线为“ ”(3磅);单面打印;页码采用阿拉伯数字五号宋体,排在页脚居中位置;页 码从第一章开始按阿拉伯数字连续编排,第一章之前的页码用罗马 数字单独编排。 6、文中表格均采用标准表格形式(如三线表,可参照正式出版物中 的表格形式); 7、文中所列图形应有所选择,照片不得直接粘贴,须经扫描后以图 片形式插入; 8、文中英文、罗马字符等一般采用time new roman正体,按规定应采用斜体的采用斜体。 二、学位论文各组成部分 学位论文一般由封面、版权声明、中文摘要、英文摘要、目录、插 图和附表目录、论文正文、参考文献、附录、致谢、原创性声明和

4-6 清华大学2011毕业论文舒圆媛论文打印版

含甲胺基化合物的消毒副产物NDMA特性 与机理研究 清华大学环境学院给排水科学与工程2011届舒圆媛 指导教师:张晓健教授 摘要: N-亚硝基二甲胺(NDMA)由于其对人类健康潜在的巨大危害性已经成为饮用水安全领域研究的热点问题。弄清水体中哪些物质是NDMA的前体物,是研究该消毒副产物生成机理与控制技术的重要基础。已有文献报道,具有特定化学结构——如胺基的某些水处理药剂、农药等能显著生成NDMA,但已有研究在不同胺基结构对化学物质消毒生成NDMA的影响方面缺乏系统认识,并缺乏对其他含胺基官能团的物质消毒生成NDMA的研究。 本研究选取杀虫脒、抗蚜威、绿麦隆、氯化胆碱、聚二烯丙基二甲基氯化 铵、洁尔灭、十六烷基三甲基溴化铵等七种含甲基胺官能团的化学物质及酪氨 酸、赖氨酸和单宁酸进行氯胺、自由氯和二氧化氯消毒试验,识别具有较大 NDMA生成潜能的目标化合物和相应消毒剂。在此基础上,研究pH、消毒剂剂量和消毒剂接触时间对目标化合物与相应消毒剂反应生成NDMA的影响;研究不同物质与消毒剂反应生成NDMA的动力学;结合有机化学合成理论,提出含有不同胺基官能团结构的化学物质和消毒剂反应时可能的NDMA生成途径,为判别具有类似化学结构的环境物质在水处理过程中的NDMA生成风险和开发相应的控制技术提供理论依据。 本研究得出如下主要结论: (1)含甲基胺官能团的化学物质是NDMA的重要前体物,但物质结构对 NDMA生成有重要影响。氯胺消毒时,聚二烯丙基二甲基氯化铵和杀虫脒有最大的NDMA生成潜能。 (2)消毒方式是所选物质生成NDMA的重要影响因素之一,在相同条件 下,氯胺消毒能产生比自由氯和二氧化氯消毒更多的NDMA。 (3)在氯胺消毒条件下,反应体系的pH值影响所选物质释放二甲胺 (DMA)及DMA进一步生成NDMA的反应。对绿麦隆和十六烷基三甲基溴 化铵的研究表明,pH越低,NDMA生成量越大。

清华建筑设计基础教材及参考书目

清华建筑设计基础教材及参考书目: (一)指定教材 1.《建筑初步》田学哲主编中国建筑工业出版社1999; 2.《清华大学建筑设计系列课教案及学生作业选*一年级建筑设计》郭逊、俞靖芝、卢向东、刘念雄著清华大学出版社2006。 (二)参考书目 1.《人体工程学图解》阿尔文?R?蒂利著中国建筑工业出版社1998; 2.《建筑艺术与室内设计》;维托里奥?马尼亚戈?兰普尼主编中国建筑工业出版社1993; 3.《家具设计图集》劳智权编著中国建筑工业出版社1980 ; 4.《商店设计》Arian Mostaedi著山东科学技术出版社2001; 5.《商店设计》奥托?瑞伍德特著中国轻工业出版社2001; 6.《室内设计资料集》张绮曼等编著中国建筑工业出版社1991; 7.《建筑空间论》(意)赛尔维著中国建筑工业出版社1985; 8.《外部空间设计》(日)芦原义信著尹培桐译中国建筑工业出版社1985; 9.《建筑空间组合论》彭一刚著中国建筑工业出版社1998; 10.《形态构成解析》田学哲、俞靖芝、郭逊、卢向东著中国建筑工业出版社2005; 11.《形态构成学》辛华泉主编中国美术学院出版社2004; 12.《平面设计基础》陈菊盛著工业美术协会1981; 13.《设计基础》(日)辛华泉译中国工业设计协会1981; 14.《美术设计的基础》(日)王秀雄译(台湾)大陆书店1984; 15.《图案设计构成研究》(日)青木正夫著郑丽译人民美术出版社1985; 16.《构成艺术》赵殿泽编译辽宁美术出版社1987; 17.《立体构成原理》王无邪著陕西人民美术出版社1989; 18.《立体构成》辛华泉著湖北美术出版社2000; 19.《纸品立体艺术造型》冯啸著(香港)万里书店1976; 20.《色彩构成》赵国志编译辽宁美术出版社1989; 21.《构图原理》清华大学民用建筑教研组编; 22.《建筑构图概论》苏联建筑科学院编著顾孟湖译中国建筑工业出版社1983; 23.《建筑形式美的原则》(美)托伯特·哈姆著邹德侬译中国建筑工业出版社1982; 24.《色彩艺术》(瑞)约翰内斯·伊顿著赵定宇译世界图书出版公司1999; 25.《色彩技法》(日)深泽孝哉著白鸽译北京工艺美术出版社1990; 26.《绘画色彩论析》冯健亲著上海人民美术出版社1990; 27.《建筑画环境表现与技法》钟训正著中国建筑工业出版社1985; 28.《国外钢笔画技法: 建筑配景》柴海利、高祥生编著江苏美术出版社 1991; 29.《建筑钢笔画》王时刚著中国水利水电出版社2001; 30.《建筑与树木铅笔写生教程》周宏智著清华大学出版社2004; 31.《工程字的书写方法》于国平著科学普及出版社1986; 32.《钢笔仿宋字书法》马子民著新时代出版社1984;

大学本科毕业论文、毕业设计

山东大学本科毕业论文、毕业设计 工作管理条例(试行) 毕业论文、毕业设计教学过程是高等学校实现本科培养目标要求的重要培养阶段。毕业论文、毕业设计是在大学期间学生毕业前的最后学习阶段,是学习深化和提高的重要过程;是学生运用已学过知识的一次全面总结和综合训练;是学生素质与能力培养效果的全面检验;是对学生的毕业及学位资格进行认证的重要依据;是衡量教育质量和办学效益的重要评价内容。因此,搞好比业论文、毕业设计工作,对全面提高教学质量具有重要意义。为了加强对毕业论文、毕业设计工作的规范化管理,根据教育部有关规定和本科专业培养计划的要求,结合我校实际情况,特制定本条例。 本条例适用于全日制本科生毕业论文、毕业设计,全日制专科生毕业论文、毕业设计亦可参照执行。 一、目的和要求 (一)目的 毕业论文是高等学校的应届毕业生在毕业前所撰写的学位论文,表明作者在科学研究工作中取得的新成果和新见解,反映作者具有的科研能力和学识水平。毕业设计是高等学校技术科学与工程技术专业的应届毕业生在毕业前接受课题任务,进行实践的过程及取得的成果。毕业论文、毕业设计的目的是培养学生综合运用所学的基础理论、专业知识和基本技能,提高分析和解决实际问题的能力,使学生在知识、能力素质方面得到综合训练、转化和提高。 (二)要求 各院(部)要加强对毕业论文、毕业设计工作的领导。在毕业论文、毕业设计工作中,要认真贯穿“三个结合”的原则:理论与实践相结合,教学与科研、生产相结合,教育与国民经济建设相结合。通过三个结合,实现毕业论文、毕业设计的教学、教育功能和社会功能。按照高等学校人才培养目标和毕业论文、毕业设计工作教学目标的基本要求,重视学生多学科的理论、知识和技能等综合运用能力的实际训练,加强学生创新意识和创造能力的培养,不断提高毕业论文、毕业设计质量、人才培养质量及教学管理工作水平。 搞好毕业论文、毕业设计工作的关键在于指导教师。各院(部)要采取有效措施,加强指导教师队伍的建设,按要求选配好指导教师,并充分发挥指导教师的作用。要加强对学生毕业论文、毕业设计的选题、指导、答辩、成绩评定等各个环节的质量检查,切实保证毕业论文、毕业设计的质量。 除医学类部分专业(如临床医学、口腔医学、护理学等专业)外,其余专业都要进行毕业论文、毕业设计工作。 二、进程安排

相关主题
文本预览
相关文档 最新文档