当前位置:文档之家› 电子秒表课程设计说明书

电子秒表课程设计说明书

电子秒表课程设计说明书
电子秒表课程设计说明书

目录

目录 0

摘要 (1)

正文 (2)

1设计目的和要求 (2)

2设计原理 (2)

3设计内容 (2)

(1)程序源代码 (3)

(2)调试分析过程描述 (9)

(3)结果分析 (11)

总结与致谢 (12)

参考文献 (13)

附录 (14)

摘要

EDA(Electronic Design Automation)电子设计自动化,就是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成用软件方式设计的电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II为设计软件、Verilog HDL 为硬件描述语言,结合所学的数字电路的知识设计一个24时电子秒表,具有正常时、分、秒计时,动态显示,清零等功能。利用硬件描述语言Verilog HDL对设计系统的各个子模块进行逻辑描述,采用模块化的设计思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑分割、逻辑综合优化、逻辑布线、逻辑仿真,最终将设计的软件系统下载设计实验系统,对设计的系统进行硬件测试。

关键词:EDA;Quartus II;Verilog HDL;电子秒表

正文

1设计目的和要求

(1)具有时、分、秒计数功能,以24h循环计时的电子钟。

(2)计时结果要用6个数码管分别显示时、分、秒的十位和个位。

(3)具有清零的功能。

(4)熟练掌握分频器的使用。

(5)熟练掌握各种计数器的使用,能用计数器构成二十四进制、六十进制等所需进制的计数器。

2设计原理

电子秒表以其显示时间的直观性、走时准确性作为一种计时工具,电子秒表的基本组成部分离不开计数器,在控制逻辑电路的控制下完成预定的各项功能。电子秒表的基本原理方框图如下图所示:

本数字系统实现电子秒表的基本的计时功能,输入50MHz的时钟,采用24小时制计时,能显示时、分、秒。本电子秒表实际上是一个对标准频率(1Hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的50MHz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成电子秒表。

电子秒表的基本组成本电子秒表的实现可分为以下几个模块:

(1) 秒计数模块:秒计数,在频率为1Hz的时钟下以60次为循环计数,并产生进位信号影响分计数;

(2) 分计数模块:分计数,在秒进位信号为高电平时,计数一次,同样以60次为一个循环计数,同时产生分进位信号影响时计数;

(3) 时计数模块:时计数,在分进位信号为高电平时,计数一次,以24次为一个循环计数;

(4) 频率产生模块:主要有2个部分,一个是产生1Hz的计数频率,一个是产生5KHz的数码管动态扫描频率;

(5) 时间显示模块:通过选中不同的数码管,同时进行一定频率的扫描显示时、分、秒。

一个基本的电子秒表电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时”,“分”,“秒”计数器、译码器及显示器、电路组成。本设计方案采用CycloneⅡEP2C35F672C8试验箱实现,首先由晶振电路产生震荡频率50MHz的CLOCK脉冲,通过计数器计数分频,形成两个频率的脉冲,其中CLK1脉冲用来动态扫描数码管地址,CLK2脉冲用来秒计时。“秒计数器”采用六十进制计数器,每累计60秒向“分计数器”进位;“分计数器”采用六十进制计数器,每累计60分向“时计数器”进位;“时计数器”采用二十四进制计数器,按照“24翻1”规律计数。将时、分、秒的计数结果分别用两个4位二进制数字表示出来,经译码器送8段数码管上显示。如遇到复位,时、分、秒计数器同时清零,采用同步清零方式。

3设计内容

(1)程序源代码

module digital_clock(seg,scan,clk,reset);

input clk,reset;

output [7:0] seg,scan;

reg [7:0] seg,scan;

reg [2:0] cnt8;

reg [3:0] data;

reg clk1;

reg clk2;

reg [13:0] counter1;

reg [25:0] counter2;

reg [3:0] hh,hl,mh,ml,sh,sl;

reg [5:0] hour,min,sec;

always @(posedge clk)

begin

if (counter1=='d10000)

begin clk1<=~clk1;counter1<=0;end else

begin counter1<=counter1+1;end

end

always @(posedge clk1)

begin

if (cnt8=='d5) cnt8<='d0;

else cnt8<=cnt8+1;

end

always @(posedge clk)

begin

if (counter2=='d5*******)

begin clk2<=~clk2;counter2<=0;end else

begin counter2<=counter2+1;end

end

always @(posedge clk2)

begin

if (sec==8'd59)

begin sec<='d0;

if (min==8'd59)

begin min<='d0;

if (hour==8'd23)

begin hour<=8'd0;end

else

begin hour<=hour+1;end

end

else

begin min<=min+1;end

end

else

begin sec<=sec+1;end

if (!reset)

begin sec[5:0]<='d0;

min[5:0]<='d0;

hour[5:0]<='d0;

end

end

always @(sec)

begin

case(sec)

5'd0:begin sh[3:0]<='b0000;sl[3:0]<='b0000;end 5'd1:begin sh[3:0]<='b0000;sl[3:0]<='b0001;end 5'd2:begin sh[3:0]<='b0000;sl[3:0]<='b0010;end 5'd3:begin sh[3:0]<='b0000;sl[3:0]<='b0011;end 5'd4:begin sh[3:0]<='b0000;sl[3:0]<='b0100;end 5'd5:begin sh[3:0]<='b0000;sl[3:0]<='b0101;end 5'd6:begin sh[3:0]<='b0000;sl[3:0]<='b0110;end 5'd7:begin sh[3:0]<='b0000;sl[3:0]<='b0111;end 5'd8:begin sh[3:0]<='b0000;sl[3:0]<='b1000;end 5'd9:begin sh[3:0]<='b0000;sl[3:0]<='b1001;end 5'd10:begin sh[3:0]<='b0001;sl[3:0]<='b0000;end 5'd11:begin sh[3:0]<='b0001;sl[3:0]<='b0001;end 5'd12:begin sh[3:0]<='b0001;sl[3:0]<='b0010;end 5'd13:begin sh[3:0]<='b0001;sl[3:0]<='b0011;end 5'd14:begin sh[3:0]<='b0001;sl[3:0]<='b0100;end 5'd15:begin sh[3:0]<='b0001;sl[3:0]<='b0101;end 5'd16:begin sh[3:0]<='b0001;sl[3:0]<='b0110;end 5'd17:begin sh[3:0]<='b0001;sl[3:0]<='b0111;end 5'd18:begin sh[3:0]<='b0001;sl[3:0]<='b1000;end 5'd19:begin sh[3:0]<='b0001;sl[3:0]<='b1001;end 5'd20:begin sh[3:0]<='b0010;sl[3:0]<='b0000;end 5'd21:begin sh[3:0]<='b0010;sl[3:0]<='b0001;end 5'd22:begin sh[3:0]<='b0010;sl[3:0]<='b0010;end 5'd23:begin sh[3:0]<='b0010;sl[3:0]<='b0011;end 5'd24:begin sh[3:0]<='b0010;sl[3:0]<='b0100;end 5'd25:begin sh[3:0]<='b0010;sl[3:0]<='b0101;end 5'd26:begin sh[3:0]<='b0010;sl[3:0]<='b0110;end 5'd27:begin sh[3:0]<='b0010;sl[3:0]<='b0111;end 5'd28:begin sh[3:0]<='b0010;sl[3:0]<='b1000;end 5'd29:begin sh[3:0]<='b0010;sl[3:0]<='b1001;end 5'd30:begin sh[3:0]<='b0011;sl[3:0]<='b0000;end 5'd31:begin sh[3:0]<='b0011;sl[3:0]<='b0001;end 5'd32:begin sh[3:0]<='b0011;sl[3:0]<='b0010;end 5'd33:begin sh[3:0]<='b0011;sl[3:0]<='b0011;end 5'd34:begin sh[3:0]<='b0011;sl[3:0]<='b0100;end 5'd35:begin sh[3:0]<='b0011;sl[3:0]<='b0101;end 5'd36:begin sh[3:0]<='b0011;sl[3:0]<='b0110;end 5'd37:begin sh[3:0]<='b0011;sl[3:0]<='b0111;end 5'd38:begin sh[3:0]<='b0011;sl[3:0]<='b1000;end 5'd39:begin sh[3:0]<='b0011;sl[3:0]<='b1001;end 5'd40:begin sh[3:0]<='b0100;sl[3:0]<='b0000;end 5'd41:begin sh[3:0]<='b0100;sl[3:0]<='b0001;end

5'd42:begin sh[3:0]<='b0100;sl[3:0]<='b0010;end 5'd43:begin sh[3:0]<='b0100;sl[3:0]<='b0011;end 5'd44:begin sh[3:0]<='b0100;sl[3:0]<='b0100;end 5'd45:begin sh[3:0]<='b0100;sl[3:0]<='b0101;end 5'd46:begin sh[3:0]<='b0100;sl[3:0]<='b0110;end 5'd47:begin sh[3:0]<='b0100;sl[3:0]<='b0111;end 5'd48:begin sh[3:0]<='b0100;sl[3:0]<='b1000;end 5'd49:begin sh[3:0]<='b0100;sl[3:0]<='b1001;end 5'd50:begin sh[3:0]<='b0101;sl[3:0]<='b0000;end 5'd51:begin sh[3:0]<='b0101;sl[3:0]<='b0001;end 5'd52:begin sh[3:0]<='b0101;sl[3:0]<='b0010;end 5'd53:begin sh[3:0]<='b0101;sl[3:0]<='b0011;end 5'd54:begin sh[3:0]<='b0101;sl[3:0]<='b0100;end 5'd55:begin sh[3:0]<='b0101;sl[3:0]<='b0101;end 5'd56:begin sh[3:0]<='b0101;sl[3:0]<='b0110;end 5'd57:begin sh[3:0]<='b0101;sl[3:0]<='b0111;end 5'd58:begin sh[3:0]<='b0101;sl[3:0]<='b1000;end 5'd59:begin sh[3:0]<='b0101;sl[3:0]<='b1001;end default:begin sh[3:0]<='bx;sl[3:0]<='bx;end

endcase

end

always @(min)

begin

case(min)

5'd0:begin mh[3:0]<='b0000;ml[3:0]<='b0000;end

5'd1:begin mh[3:0]<='b0000;ml[3:0]<='b0001;end

5'd2:begin mh[3:0]<='b0000;ml[3:0]<='b0010;end

5'd3:begin mh[3:0]<='b0000;ml[3:0]<='b0011;end

5'd4:begin mh[3:0]<='b0000;ml[3:0]<='b0100;end

5'd5:begin mh[3:0]<='b0000;ml[3:0]<='b0101;end

5'd6:begin mh[3:0]<='b0000;ml[3:0]<='b0110;end

5'd7:begin mh[3:0]<='b0000;ml[3:0]<='b0111;end

5'd8:begin mh[3:0]<='b0000;ml[3:0]<='b1000;end

5'd9:begin mh[3:0]<='b0000;ml[3:0]<='b1001;end

5'd10:begin mh[3:0]<='b0001;ml[3:0]<='b0000;end 5'd11:begin mh[3:0]<='b0001;ml[3:0]<='b0001;end 5'd12:begin mh[3:0]<='b0001;ml[3:0]<='b0010;end 5'd13:begin mh[3:0]<='b0001;ml[3:0]<='b0011;end 5'd14:begin mh[3:0]<='b0001;ml[3:0]<='b0100;end 5'd15:begin mh[3:0]<='b0001;ml[3:0]<='b0101;end 5'd16:begin mh[3:0]<='b0001;ml[3:0]<='b0110;end 5'd17:begin mh[3:0]<='b0001;ml[3:0]<='b0111;end 5'd18:begin mh[3:0]<='b0001;ml[3:0]<='b1000;end 5'd19:begin mh[3:0]<='b0001;ml[3:0]<='b1001;end

5'd20:begin mh[3:0]<='b0010;ml[3:0]<='b0000;end 5'd21:begin mh[3:0]<='b0010;ml[3:0]<='b0001;end 5'd22:begin mh[3:0]<='b0010;ml[3:0]<='b0010;end 5'd23:begin mh[3:0]<='b0010;ml[3:0]<='b0011;end 5'd24:begin mh[3:0]<='b0010;ml[3:0]<='b0100;end 5'd25:begin mh[3:0]<='b0010;ml[3:0]<='b0101;end 5'd26:begin mh[3:0]<='b0010;ml[3:0]<='b0110;end 5'd27:begin mh[3:0]<='b0010;ml[3:0]<='b0111;end 5'd28:begin mh[3:0]<='b0010;ml[3:0]<='b1000;end 5'd29:begin mh[3:0]<='b0010;ml[3:0]<='b1001;end 5'd30:begin mh[3:0]<='b0011;ml[3:0]<='b0000;end 5'd31:begin mh[3:0]<='b0011;ml[3:0]<='b0001;end 5'd32:begin mh[3:0]<='b0011;ml[3:0]<='b0010;end 5'd33:begin mh[3:0]<='b0011;ml[3:0]<='b0011;end 5'd34:begin mh[3:0]<='b0011;ml[3:0]<='b0100;end 5'd35:begin mh[3:0]<='b0011;ml[3:0]<='b0101;end 5'd36:begin mh[3:0]<='b0011;ml[3:0]<='b0110;end 5'd37:begin mh[3:0]<='b0011;ml[3:0]<='b0111;end 5'd38:begin mh[3:0]<='b0011;ml[3:0]<='b1000;end 5'd39:begin mh[3:0]<='b0011;ml[3:0]<='b1001;end 5'd40:begin mh[3:0]<='b0100;ml[3:0]<='b0000;end 5'd41:begin mh[3:0]<='b0100;ml[3:0]<='b0001;end 5'd42:begin mh[3:0]<='b0100;ml[3:0]<='b0010;end 5'd43:begin mh[3:0]<='b0100;ml[3:0]<='b0011;end 5'd44:begin mh[3:0]<='b0100;ml[3:0]<='b0100;end 5'd45:begin mh[3:0]<='b0100;ml[3:0]<='b0101;end 5'd46:begin mh[3:0]<='b0100;ml[3:0]<='b0110;end 5'd47:begin mh[3:0]<='b0100;ml[3:0]<='b0111;end 5'd48:begin mh[3:0]<='b0100;ml[3:0]<='b1000;end 5'd49:begin mh[3:0]<='b0100;ml[3:0]<='b1001;end 5'd50:begin mh[3:0]<='b0101;ml[3:0]<='b0000;end 5'd51:begin mh[3:0]<='b0101;ml[3:0]<='b0001;end 5'd52:begin mh[3:0]<='b0101;ml[3:0]<='b0010;end 5'd53:begin mh[3:0]<='b0101;ml[3:0]<='b0011;end 5'd54:begin mh[3:0]<='b0101;ml[3:0]<='b0100;end 5'd55:begin mh[3:0]<='b0101;ml[3:0]<='b0101;end 5'd56:begin mh[3:0]<='b0101;ml[3:0]<='b0110;end 5'd57:begin mh[3:0]<='b0101;ml[3:0]<='b0111;end 5'd58:begin mh[3:0]<='b0101;ml[3:0]<='b1000;end 5'd59:begin mh[3:0]<='b0101;ml[3:0]<='b1001;end default:begin mh[3:0]<='bx;ml[3:0]<='bx;end

endcase

end

always @(hour)

begin

case(hour)

5'd0:begin hh[3:0]<='b0000;hl[3:0]<='b0000;end

5'd1:begin hh[3:0]<='b0000;hl[3:0]<='b0001;end

5'd2:begin hh[3:0]<='b0000;hl[3:0]<='b0010;end

5'd3:begin hh[3:0]<='b0000;hl[3:0]<='b0011;end

5'd4:begin hh[3:0]<='b0000;hl[3:0]<='b0100;end

5'd5:begin hh[3:0]<='b0000;hl[3:0]<='b0101;end

5'd6:begin hh[3:0]<='b0000;hl[3:0]<='b0110;end

5'd7:begin hh[3:0]<='b0000;hl[3:0]<='b0111;end

5'd8:begin hh[3:0]<='b0000;hl[3:0]<='b1000;end

5'd9:begin hh[3:0]<='b0000;hl[3:0]<='b1001;end

5'd10:begin hh[3:0]<='b0001;hl[3:0]<='b0000;end

5'd11:begin hh[3:0]<='b0001;hl[3:0]<='b0001;end

5'd12:begin hh[3:0]<='b0001;hl[3:0]<='b0010;end

5'd13:begin hh[3:0]<='b0001;hl[3:0]<='b0011;end

5'd14:begin hh[3:0]<='b0001;hl[3:0]<='b0100;end

5'd15:begin hh[3:0]<='b0001;hl[3:0]<='b0101;end

5'd16:begin hh[3:0]<='b0001;hl[3:0]<='b0110;end

5'd17:begin hh[3:0]<='b0001;hl[3:0]<='b0111;end

5'd18:begin hh[3:0]<='b0001;hl[3:0]<='b1000;end

5'd19:begin hh[3:0]<='b0001;hl[3:0]<='b1001;end

5'd20:begin hh[3:0]<='b0010;hl[3:0]<='b0000;end

5'd21:begin hh[3:0]<='b0010;hl[3:0]<='b0001;end

5'd22:begin hh[3:0]<='b0010;hl[3:0]<='b0010;end

5'd23:begin hh[3:0]<='b0010;hl[3:0]<='b0011;end

default:begin hh[3:0]<='bx;hl[3:0]<='bx;end

endcase

end

always

begin

case(cnt8)

'b000:begin scan<='b01111111;data [3:0]<=sl[3:0];end 'b001:begin scan<='b10111111;data [3:0]<=sh[3:0];end 'b010:begin scan<='b11011111;data [3:0]<=ml[3:0];end 'b011:begin scan<='b11101111;data [3:0]<=mh[3:0];end 'b100:begin scan<='b11110111;data [3:0]<=hl[3:0];end 'b101:begin scan<='b11111011;data [3:0]<=hh[3:0];end default:begin scan<='bx;data [3:0]<='bx;end

endcase

case(data [3:0])

0:seg=8'b11000000;

1:seg=8'b11111001;

2:seg=8'b10100100;

3:seg=8'b10110000;

4:seg=8'b10011001;

5:seg=8'b10010010;

6:seg=8'b10000010;

7:seg=8'b11111000;

8:seg=8'b10000000;

9:seg=8'b10010000;

default:seg=8'b11111111; endcase

end

endmodule

(2)调试分析过程描述

①正常计数的功能仿真结果

②正常计数的时序仿真结果

③计数复位功能仿真结果

(3)结果分析

当正常计数是,观察波形可知,当秒计数sec计到59后,分计数min加1。小时计数hour与分计数类似,均满足正常计数逻辑功能。

当复位信号reset置0后,且计时脉冲CLK2下降沿到来时,观察波形可知,hour、min、sec均同步置0。

总结与致谢

这次EDA课程设计历时一个星期,在整整一个星期的日子里,不仅巩固了以前所学过的知识,而且学到了很多书本上学不到的知识,同时锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,对未来有了更多的信心。这次课程设计,进一步加深了我对EDA的了解,使我对QuartusII的基本操作有所了解,使我对应用软件的方法设计硬件系统有了更加浓厚的兴趣。

通过这次课程设计,我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合,从实践中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。在设计的过程中,我遇到许多问题,毕竟是第一次应用VHDL进行硬件电路系统的设计,许多EDA的知识还没有充分的掌握,遇到困难也是在所难免的,同时发现了自己的不足之处:学习知识表面化,没有深入了解它们的原理。

经过快一个星期的紧张工作,我终于完成了我的设计任务——电子秒表设计。在这次课程设计中特别感谢杨红娟老师对我的指导,正是由于她的督促和耐心指导,我才可以顺利完成这次的设计,锻炼了动手能力。

参考文献

[1] 黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006

[2] 潘松,黄继业.EDA技术与VHDL.北京:清华大学出版社,2009

[3] 江国强编著.EDA技术与应用(第三版)..北京:电子工业出版社,2010

[4] 夏宇闻编著.Verilog HDL数字系统设计教程..北京:北京航空航天大学出版社,2008

[5] 周祖成,程晓军,马卓钊编著.数字电路与系统教学实验教程.北京:科学出版社,2010

[6] 周润景,苏良碧.基于Quartus II 的数字系统Verilog HDL设计实例详解.北京:电子工业出版社,2010

[7] (美国)Sanir Palnitkar 译者:夏宇闻胡燕祥刁岚松.Verilog HDL数字设计与综合(第2版).北京:电子工业出版社,2009

[8] 云创工作室.Verilog HDL程序设计与实践.北京:人民邮电出版社,2009

[9] 刘福奇,刘波.Verilog HDL应用程序设计实例精讲.北京:电子工业出版社,2009

[10] 张延伟,杨金岩,葛爱学.verilog hdl程序设计实例详解.北京:人民邮电出版社,2008

附录程序源代码

module digital_clock(seg,scan,clk,reset); input clk,reset;

output [7:0] seg,scan;

reg [7:0] seg,scan;

reg [2:0] cnt8;

reg [3:0] data;

reg clk1;

reg clk2;

reg [13:0] counter1;

reg [25:0] counter2;

reg [3:0] hh,hl,mh,ml,sh,sl;

reg [5:0] hour,min,sec;

always @(posedge clk)

begin

if (counter1=='d10000)

begin clk1<=~clk1;counter1<=0;end

else

begin counter1<=counter1+1;end

end

always @(posedge clk1)

begin

if (cnt8=='d5) cnt8<='d0;

else cnt8<=cnt8+1;

end

always @(posedge clk)

begin

if (counter2=='d5*******)

begin clk2<=~clk2;counter2<=0;end

else

begin counter2<=counter2+1;end

end

always @(posedge clk2)

begin

if (sec==8'd59)

begin sec<='d0;

if (min==8'd59)

begin min<='d0;

if (hour==8'd23)

begin hour<=8'd0;end

else

begin hour<=hour+1;end

end

else

begin min<=min+1;end

end

else

begin sec<=sec+1;end

if (!reset)

begin sec[5:0]<='d0;

min[5:0]<='d0;

hour[5:0]<='d0;

end

end

always @(sec)

begin

case(sec)

5'd0:begin sh[3:0]<='b0000;sl[3:0]<='b0000;end 5'd1:begin sh[3:0]<='b0000;sl[3:0]<='b0001;end 5'd2:begin sh[3:0]<='b0000;sl[3:0]<='b0010;end 5'd3:begin sh[3:0]<='b0000;sl[3:0]<='b0011;end 5'd4:begin sh[3:0]<='b0000;sl[3:0]<='b0100;end 5'd5:begin sh[3:0]<='b0000;sl[3:0]<='b0101;end 5'd6:begin sh[3:0]<='b0000;sl[3:0]<='b0110;end 5'd7:begin sh[3:0]<='b0000;sl[3:0]<='b0111;end 5'd8:begin sh[3:0]<='b0000;sl[3:0]<='b1000;end 5'd9:begin sh[3:0]<='b0000;sl[3:0]<='b1001;end 5'd10:begin sh[3:0]<='b0001;sl[3:0]<='b0000;end 5'd11:begin sh[3:0]<='b0001;sl[3:0]<='b0001;end 5'd12:begin sh[3:0]<='b0001;sl[3:0]<='b0010;end 5'd13:begin sh[3:0]<='b0001;sl[3:0]<='b0011;end 5'd14:begin sh[3:0]<='b0001;sl[3:0]<='b0100;end 5'd15:begin sh[3:0]<='b0001;sl[3:0]<='b0101;end 5'd16:begin sh[3:0]<='b0001;sl[3:0]<='b0110;end 5'd17:begin sh[3:0]<='b0001;sl[3:0]<='b0111;end 5'd18:begin sh[3:0]<='b0001;sl[3:0]<='b1000;end 5'd19:begin sh[3:0]<='b0001;sl[3:0]<='b1001;end 5'd20:begin sh[3:0]<='b0010;sl[3:0]<='b0000;end 5'd21:begin sh[3:0]<='b0010;sl[3:0]<='b0001;end 5'd22:begin sh[3:0]<='b0010;sl[3:0]<='b0010;end 5'd23:begin sh[3:0]<='b0010;sl[3:0]<='b0011;end 5'd24:begin sh[3:0]<='b0010;sl[3:0]<='b0100;end 5'd25:begin sh[3:0]<='b0010;sl[3:0]<='b0101;end 5'd26:begin sh[3:0]<='b0010;sl[3:0]<='b0110;end 5'd27:begin sh[3:0]<='b0010;sl[3:0]<='b0111;end 5'd28:begin sh[3:0]<='b0010;sl[3:0]<='b1000;end 5'd29:begin sh[3:0]<='b0010;sl[3:0]<='b1001;end

5'd30:begin sh[3:0]<='b0011;sl[3:0]<='b0000;end 5'd31:begin sh[3:0]<='b0011;sl[3:0]<='b0001;end 5'd32:begin sh[3:0]<='b0011;sl[3:0]<='b0010;end 5'd33:begin sh[3:0]<='b0011;sl[3:0]<='b0011;end 5'd34:begin sh[3:0]<='b0011;sl[3:0]<='b0100;end 5'd35:begin sh[3:0]<='b0011;sl[3:0]<='b0101;end 5'd36:begin sh[3:0]<='b0011;sl[3:0]<='b0110;end 5'd37:begin sh[3:0]<='b0011;sl[3:0]<='b0111;end 5'd38:begin sh[3:0]<='b0011;sl[3:0]<='b1000;end 5'd39:begin sh[3:0]<='b0011;sl[3:0]<='b1001;end 5'd40:begin sh[3:0]<='b0100;sl[3:0]<='b0000;end 5'd41:begin sh[3:0]<='b0100;sl[3:0]<='b0001;end 5'd42:begin sh[3:0]<='b0100;sl[3:0]<='b0010;end 5'd43:begin sh[3:0]<='b0100;sl[3:0]<='b0011;end 5'd44:begin sh[3:0]<='b0100;sl[3:0]<='b0100;end 5'd45:begin sh[3:0]<='b0100;sl[3:0]<='b0101;end 5'd46:begin sh[3:0]<='b0100;sl[3:0]<='b0110;end 5'd47:begin sh[3:0]<='b0100;sl[3:0]<='b0111;end 5'd48:begin sh[3:0]<='b0100;sl[3:0]<='b1000;end 5'd49:begin sh[3:0]<='b0100;sl[3:0]<='b1001;end 5'd50:begin sh[3:0]<='b0101;sl[3:0]<='b0000;end 5'd51:begin sh[3:0]<='b0101;sl[3:0]<='b0001;end 5'd52:begin sh[3:0]<='b0101;sl[3:0]<='b0010;end 5'd53:begin sh[3:0]<='b0101;sl[3:0]<='b0011;end 5'd54:begin sh[3:0]<='b0101;sl[3:0]<='b0100;end 5'd55:begin sh[3:0]<='b0101;sl[3:0]<='b0101;end 5'd56:begin sh[3:0]<='b0101;sl[3:0]<='b0110;end 5'd57:begin sh[3:0]<='b0101;sl[3:0]<='b0111;end 5'd58:begin sh[3:0]<='b0101;sl[3:0]<='b1000;end 5'd59:begin sh[3:0]<='b0101;sl[3:0]<='b1001;end default:begin sh[3:0]<='bx;sl[3:0]<='bx;end

endcase

end

always @(min)

begin

case(min)

5'd0:begin mh[3:0]<='b0000;ml[3:0]<='b0000;end

5'd1:begin mh[3:0]<='b0000;ml[3:0]<='b0001;end

5'd2:begin mh[3:0]<='b0000;ml[3:0]<='b0010;end

5'd3:begin mh[3:0]<='b0000;ml[3:0]<='b0011;end

5'd4:begin mh[3:0]<='b0000;ml[3:0]<='b0100;end

5'd5:begin mh[3:0]<='b0000;ml[3:0]<='b0101;end

5'd6:begin mh[3:0]<='b0000;ml[3:0]<='b0110;end

5'd7:begin mh[3:0]<='b0000;ml[3:0]<='b0111;end

5'd9:begin mh[3:0]<='b0000;ml[3:0]<='b1001;end 5'd10:begin mh[3:0]<='b0001;ml[3:0]<='b0000;end 5'd11:begin mh[3:0]<='b0001;ml[3:0]<='b0001;end 5'd12:begin mh[3:0]<='b0001;ml[3:0]<='b0010;end 5'd13:begin mh[3:0]<='b0001;ml[3:0]<='b0011;end 5'd14:begin mh[3:0]<='b0001;ml[3:0]<='b0100;end 5'd15:begin mh[3:0]<='b0001;ml[3:0]<='b0101;end 5'd16:begin mh[3:0]<='b0001;ml[3:0]<='b0110;end 5'd17:begin mh[3:0]<='b0001;ml[3:0]<='b0111;end 5'd18:begin mh[3:0]<='b0001;ml[3:0]<='b1000;end 5'd19:begin mh[3:0]<='b0001;ml[3:0]<='b1001;end 5'd20:begin mh[3:0]<='b0010;ml[3:0]<='b0000;end 5'd21:begin mh[3:0]<='b0010;ml[3:0]<='b0001;end 5'd22:begin mh[3:0]<='b0010;ml[3:0]<='b0010;end 5'd23:begin mh[3:0]<='b0010;ml[3:0]<='b0011;end 5'd24:begin mh[3:0]<='b0010;ml[3:0]<='b0100;end 5'd25:begin mh[3:0]<='b0010;ml[3:0]<='b0101;end 5'd26:begin mh[3:0]<='b0010;ml[3:0]<='b0110;end 5'd27:begin mh[3:0]<='b0010;ml[3:0]<='b0111;end 5'd28:begin mh[3:0]<='b0010;ml[3:0]<='b1000;end 5'd29:begin mh[3:0]<='b0010;ml[3:0]<='b1001;end 5'd30:begin mh[3:0]<='b0011;ml[3:0]<='b0000;end 5'd31:begin mh[3:0]<='b0011;ml[3:0]<='b0001;end 5'd32:begin mh[3:0]<='b0011;ml[3:0]<='b0010;end 5'd33:begin mh[3:0]<='b0011;ml[3:0]<='b0011;end 5'd34:begin mh[3:0]<='b0011;ml[3:0]<='b0100;end 5'd35:begin mh[3:0]<='b0011;ml[3:0]<='b0101;end 5'd36:begin mh[3:0]<='b0011;ml[3:0]<='b0110;end 5'd37:begin mh[3:0]<='b0011;ml[3:0]<='b0111;end 5'd38:begin mh[3:0]<='b0011;ml[3:0]<='b1000;end 5'd39:begin mh[3:0]<='b0011;ml[3:0]<='b1001;end 5'd40:begin mh[3:0]<='b0100;ml[3:0]<='b0000;end 5'd41:begin mh[3:0]<='b0100;ml[3:0]<='b0001;end 5'd42:begin mh[3:0]<='b0100;ml[3:0]<='b0010;end 5'd43:begin mh[3:0]<='b0100;ml[3:0]<='b0011;end 5'd44:begin mh[3:0]<='b0100;ml[3:0]<='b0100;end 5'd45:begin mh[3:0]<='b0100;ml[3:0]<='b0101;end 5'd46:begin mh[3:0]<='b0100;ml[3:0]<='b0110;end 5'd47:begin mh[3:0]<='b0100;ml[3:0]<='b0111;end 5'd48:begin mh[3:0]<='b0100;ml[3:0]<='b1000;end 5'd49:begin mh[3:0]<='b0100;ml[3:0]<='b1001;end 5'd50:begin mh[3:0]<='b0101;ml[3:0]<='b0000;end 5'd51:begin mh[3:0]<='b0101;ml[3:0]<='b0001;end

5'd53:begin mh[3:0]<='b0101;ml[3:0]<='b0011;end 5'd54:begin mh[3:0]<='b0101;ml[3:0]<='b0100;end 5'd55:begin mh[3:0]<='b0101;ml[3:0]<='b0101;end 5'd56:begin mh[3:0]<='b0101;ml[3:0]<='b0110;end 5'd57:begin mh[3:0]<='b0101;ml[3:0]<='b0111;end 5'd58:begin mh[3:0]<='b0101;ml[3:0]<='b1000;end 5'd59:begin mh[3:0]<='b0101;ml[3:0]<='b1001;end default:begin mh[3:0]<='bx;ml[3:0]<='bx;end

endcase

end

always @(hour)

begin

case(hour)

5'd0:begin hh[3:0]<='b0000;hl[3:0]<='b0000;end 5'd1:begin hh[3:0]<='b0000;hl[3:0]<='b0001;end 5'd2:begin hh[3:0]<='b0000;hl[3:0]<='b0010;end 5'd3:begin hh[3:0]<='b0000;hl[3:0]<='b0011;end 5'd4:begin hh[3:0]<='b0000;hl[3:0]<='b0100;end 5'd5:begin hh[3:0]<='b0000;hl[3:0]<='b0101;end 5'd6:begin hh[3:0]<='b0000;hl[3:0]<='b0110;end 5'd7:begin hh[3:0]<='b0000;hl[3:0]<='b0111;end 5'd8:begin hh[3:0]<='b0000;hl[3:0]<='b1000;end 5'd9:begin hh[3:0]<='b0000;hl[3:0]<='b1001;end 5'd10:begin hh[3:0]<='b0001;hl[3:0]<='b0000;end 5'd11:begin hh[3:0]<='b0001;hl[3:0]<='b0001;end 5'd12:begin hh[3:0]<='b0001;hl[3:0]<='b0010;end 5'd13:begin hh[3:0]<='b0001;hl[3:0]<='b0011;end 5'd14:begin hh[3:0]<='b0001;hl[3:0]<='b0100;end 5'd15:begin hh[3:0]<='b0001;hl[3:0]<='b0101;end 5'd16:begin hh[3:0]<='b0001;hl[3:0]<='b0110;end 5'd17:begin hh[3:0]<='b0001;hl[3:0]<='b0111;end 5'd18:begin hh[3:0]<='b0001;hl[3:0]<='b1000;end 5'd19:begin hh[3:0]<='b0001;hl[3:0]<='b1001;end 5'd20:begin hh[3:0]<='b0010;hl[3:0]<='b0000;end 5'd21:begin hh[3:0]<='b0010;hl[3:0]<='b0001;end 5'd22:begin hh[3:0]<='b0010;hl[3:0]<='b0010;end 5'd23:begin hh[3:0]<='b0010;hl[3:0]<='b0011;end default:begin hh[3:0]<='bx;hl[3:0]<='bx;end

endcase

end

always

begin

case(cnt8)

'b000:begin scan<='b01111111;data [3:0]<=sl[3:0];end 'b001:begin scan<='b10111111;data [3:0]<=sh[3:0];end 'b010:begin scan<='b11011111;data [3:0]<=ml[3:0];end 'b011:begin scan<='b11101111;data [3:0]<=mh[3:0];end 'b100:begin scan<='b11110111;data [3:0]<=hl[3:0];end 'b101:begin scan<='b11111011;data [3:0]<=hh[3:0];end default:begin scan<='bx;data [3:0]<='bx;end

endcase

case(data [3:0])

0:seg=8'b11000000;

1:seg=8'b11111001;

2:seg=8'b10100100;

3:seg=8'b10110000;

4:seg=8'b10011001;

5:seg=8'b10010010;

6:seg=8'b10000010;

7:seg=8'b11111000;

8:seg=8'b10000000;

9:seg=8'b10010000;

default:seg=8'b11111111;

endcase

end

endmodule

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表使用说明

电子秒表使用说明 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内

部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消

秒表设计说明书讲解

课程设计说明书 用LED数码管显示的秒表设计 专业新能源科学与工程 学生姓名董爱林 班级能源132 学号1310604204 指导教师张兰红 完成日期2015年12月18日

用LED数码管显示的秒表设计 摘要:对采用LED数码管显示的秒表进行了设计。所设计的秒表,可通过两位数码管显示00-59。每秒自动加一。 在对系统功能分析的基础上,采用AT89C52单片机。相对而言比较简单,贴近书本,比较熟悉。对所要实现的功能也能很好地满足,焊接也比较简单。设计主要采用硬件和软件两部分。硬件包含数码管按钮模块、单片机控制模块、数码管显示模块、驱动电流放大模块。按钮模块采用独立式按键,控制模块选用AT89C52单片机,显示模块采用两位数码管,放大模块选用NPN三极管。软件采用模块化的程序,分为主程序和定时器计时服务子程序。 在多孔板上制作了LED数码管显示的秒表,使用protus完成了系统仿真,对硬件和软件部分分别进行了调试,进行了软硬件联调,最后调试成功样机实物,完成了毕业设计任务书的要求。 关键词:单片机;数码管;秒表

A stopwatch design with LED digital tube display Abstract: For the LED digital tube display of a stopwatch is used for design. The stopwatch, designed by two digital tube display 00 ~ 59. Automatically add a per second. On the basis of the analysis of system function, using the AT89C52 single chip microcomputer. Relatively simple, close to the book, familiar with. To in order to realize the function also can well satisfy, welding is more simple. The design mainly adopts two parts of hardware and software. Hardware consists of digital tube button module, single-chip microcomputer control module, digital tube display module, the drive current amplifier module. Button module USES the independent type key, choose AT89C52 single chip microcomputer control module, using two digital tube display module, amplifier module selects the NPN transistor. Software adopts the modular program, main program and timer timing service subroutine. On the perforated plate made of LED digital tube display a stopwatch, use protus completed system simulation, the hardware and the software part, has carried on the debugging, the software and hardware alignment, the final debugging success physical prototype, completed the graduation design specification requirements. Key Words:Single chip microcomputer; Digital tube; A stopwatch.

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

电子秒表使用说明

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时:

按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2 号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期(A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消 五、电池更换 当显示变暗或无显示时,用十字的拧松表后壳螺丝,取下表后壳,(或用硬币拧出表后壳上的电池门),取出表内旧的扣式电池,装上一个同样型号或一个同样大小的扣式电池,然后装上表壳,拧紧表壳螺丝 六、注意事项

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

PC396电子秒表使用说明书

PC396 电子秒表使用说明书 一、秒表计时 按A键直至秒表显示,若秒表不为零。按B键停止计时,按C键复位到零。 简易计时:按B键开始计时;再按B键,停止计时;(重复按B键,重复开始/停止)停止计时后,按C键复位到零。 分段计时:按B键开始计时;按C键显示分段时间;(注意:内部计时持续)再按C键复位到计时;(重复按C键,显示分段时间或复位)复位到计时后,按B键停止计时;按C键复位到零。 两段时间显示;按B键开始计时;按C键显示第一分段时间;按B 键记忆第二分段时间;按C键显示第二分段时间;再按C键复位到零。 二、时间、日历、星期、响闹显示 按A键直至正常走时,按B键显示月、日、星期;按C键显示响闹时间,同时按住C键和B键,设置响闹取消或保持。 三、设置时间、日历 在正常走时状态,按A键三次,正常走时的秒及星期同时闪烁;这样进入了设置状态。按C键,选择秒、分、时、日、月、星期,按B 键置数,(按住键不放,出现快速置数)A/P显示为12小时制,A表示为上午,P表示为下午,H为24小时制,调校完毕,按A键回到时间显示状态。 四、设置响闹时间

在正常走时状态,按A 键两次,时和星期一同时闪烁,这样进入了响闹设置方式。按C 键选择时和分,按B 键改变分和时数字。按A 键回到正常时间显示。 五、自动重响 在响闹时,按B 键进入重响状态。即五分钟后,响闹可自动重响,按C 键可解除自动重响。 六、每小时报时 按A 键直至显示正常走时,按C 键后,同时按A 键,星期指示全部显示则有每小时报时,星期显示全部消失为无每小时报时。 七、注意事项 避免与腐蚀性物体接触;避免在温度过高或过低的环境下使用; 不要长时间在阳光下暴晒。电池不在保修范围内。 A 键 B 键 C 键 PC396电子秒表图

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

电子秒表使用说明

电子秒表使用说明内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

正品?深圳君斯达JS-601金属秒表?运动秒表?2道记忆?1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×61.5×15.5mm 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示

按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消 五、电池更换 当显示变暗或无显示时,用十字的拧松表后壳螺丝,取下表后壳,(或用硬币拧出表后壳上的电池门),取出表内旧的扣式电池,装上一个同样型号或一个同样大小的扣式电池,然后装上表壳,拧紧表壳螺丝 六、注意事项 1避免受潮,不能在雨不下使用 2不宜长时间在太阳下爆晒和置于强光下照射 3避免与腐蚀性物质接触 4避免在温度过高或过低的环境下使用

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

电子秒表的使用与保养

电子秒表的使用与保养 秒表主要有机械和电子两大类,电子表又可分为三按键(图1)和四按键(图2)两大类。现在绝大部分体育教师使用的 多是电子秒表,机械秒表在很多地方已经 成为历史。电子秒表是一种较先进的电子 计时器,目前国产的电子秒表一般都是利 用石英振荡器的振荡频率作为时间基准, 采用6位液晶数字显示时间,具有显示直 观、读取方便、功能多等优点。笔者就电 子秒表的使用与保养谈几点感受(以四按 键电子秒表为例)。 一、学会电子表按键的基本操作(查阅秒表说明书) 1.记录一个时间:在计时器显示的情况下,按MODE键选择,即可出现秒表功能。按一下START/STOP按钮开始自动计秒,再按一下停止计秒,显示出所计数据。按LAP/RESET键,则自动复零。 2.记录多个时间:若要纪录多个物体同时出发,但不同时到达终点的运动,可采用多计时功能方式(具体可记录数量以表的说明书介绍为准)。即首先在秒表状态下按START/STOP开始,秒表开始自动计秒,待物体到达终点时按一下LAP/RESET,则显示不同物体的计秒数停止,并显示在屏幕上方。此时秒表仍在记录,内部电路仍在继续为后面的物体累积计秒。全部物体记录完成后正常停表,按RECALL可进入查看前面的记录情况,上下翻动可用START/STOP和 LAP/RESET两键。 3.时间、日期的调整:若需要进行时刻和日期的校正与调整,可按MODE键,待显示时、分、秒的计秒数字时,按住RECALL键2秒后见数字闪烁即可选择调整,直到显示出所需要调整的正确秒数时为止,再按下RECALL键。 二、使用注意事项 1.使用前一定要进行验表,主要看按键是否有问题,记录的时间是否准。 2.使用时,用拇指指关节或用食指第二指关节扣住按键,并将秒表靠住自己的胸部,不在任何的摆臂动作中完成按键。 3.按键时尽量用正确的角度和适合的力量,不要按在按钮的边缘或斜角度按,避免卡住或损坏按钮。 4.计时开启后不要将秒表挂在脖子上或放在口袋内跑动,防止跑的过程中秒表按键与身体相撞,造成意外的停止或开启。 5.要注意开表以烟为信号,停表时与终点线直对,不能斜看。 三、保养注意事项 1.保持电池的定期更换,一般在显示变暗时即可更换,不要等电子秒表的电池耗尽再更换。

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

Multisim 电子秒表

电子秒表设计 1.实验目的: 学习数字电路中的基本RS触发器,单稳触发器,时钟发生器,译码显示电路等单元电路的综合应用。学习电子秒表的调试方法。 2.实验原理 图2-1为电子秒表的电原理图。按功能分成4个单元电路进行分析。 2.1 基本RS触发器 图2-1的单元Ⅰ部分为用集成与非门构成的基本RS触发器。它属低电平直接触发的触发器,有直接置位和复位的功能。 图2-1 电子秒表原理图 它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。 按动按钮开关K 2到接地,则门一的输出Q=1;门2的输出Q=0,K 2 复位后Q、Q状态保 持不变。再按动按钮开关K 1 ,则Q由0变为1,门5开启,为计数器启动作好准备,Q由1变到0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2.2 单稳态触发器 图2-1的单元Ⅱ部分为用集成与非门构成的微分型单稳态触发器。单稳态触发器的输

入触发负脉冲信号V i 由基本RS触发器Q端提供,输出负脉冲V 通过非门加到计数器的清 除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的开关电阻R off 。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分 电路的R p 和C p 。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 2.3 时钟触发器 图2-1的单元Ⅲ为由555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位R p ,使在输出端3获得频率为50Hz的矩形波信号。当基本RS触发器的Q=1 时,门5开启,此时,50Hz脉冲信号通过门5作为计数脉冲加于计数器74LS90(Ⅰ)的计 数输入端CP 2 。 2.4 计数及译码显示 二-五-十进制加法计数器74LS90构成电子秒表的计数单元。其中计数器①接成五进制 形式,对频率为50Hz的时钟脉冲进行五分频,在输出端Q D 取得周期为0.1秒的矩形脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置的译码显示单元的相应输出端连接,可显示0.1~0.9s和1~9.9s计时。 表2-1为74LS90引脚功能表。

74ls9电子秒表说明书

第1章绪论 1.1选题的目的 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐渐加深。作为一个学习电子专业的大学生,我们不但要有扎实的基础知识、课本知识,还应该有较强的动手能力。现实也要求我们既精通电子技术理论,更要掌握电子电路设计、实验研究和调试技术。 1.2 设计的要求 1.2.1设计题目和设计指标 设计题目:电子秒表。 设计指标:1. 计数范围000~999。 2. 具有启动、暂停、停止功能。 1.2.2 设计功能 电子秒表是重要的记时工具,广泛运用于各行各业中。它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点,不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。

第2章方案设计 2.1电路的方框图 电路的方框图主要由脉冲产生电路、控制及分频电路、计数电路、译码驱动电路及显示电路等单元电路的综合电路组成。如图2—1所示。 图2-1 电子秒表电路方框图 2.2 方案介绍 脉冲产生电路 由NE555构成的多谐振荡器,是一种能产生矩形波的自激振荡器,也称矩形波发生器。多谐振荡器没有稳态,只有两个暂稳态。在工作时电路在这两个稳态之间自动的交替变换,由此产生矩形脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。并且555定时器的比较器灵敏度高,输出驱动电流大,功能灵活且电路结构简单计算简单。因此在本电路中采用NE555定时器构成的多谐振荡器作为振荡源。

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

相关主题
文本预览
相关文档 最新文档