当前位置:文档之家› 数字滤波器设计方案

数字滤波器设计方案

数字滤波器设计方案
数字滤波器设计方案

数字滤波器设计方案

1.1 课题的目的和意义

在当今的生活中,身边的工程技术领域越来越受到关注。其中的通信领域所涉及到的各种信号更是重中之重。如何在较强的背景的噪声下和干扰的信号下有效提炼出真正的有用信号并将其真正运用到实际的工程中,这正是信号处理要解决的问题。上世纪60年代,数字信号处理在理论层上发展迅猛。其体系和框架逐渐成熟,如今,数字信号处理已经成为一门完整的学科。其涉及到许多学科而又广泛应用于许多领域,20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。数字信号处理是一种通过使用数学技巧执行转换或提取信息,来处理现实信号的方法,这些信号由数字序列表示。

而数字滤波器在这门学科中占有很重要的地位。数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号要求的输出离散时间信号的转换为所特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。它涉及到的领域很广,如通信系统,系统控制,生物医学工程,机械振动,遥感遥测,地质勘探,故障检测,电力系统,航空航天,自动化仪器等。

数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效的推动众多的工程技术领域改造和学科发展。所以对数字滤波器的工作原理,硬件结构和实现方法进行研究具有一定的意义。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和部连线(Interconnect)三个部分。现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA 具有不同的结构,FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能, FPGA允许无限次的编程.

1.2 FPGA技术的发展及应用

FPGA正处于高速发展时期,新型芯片的规模越大,成本也越来越低,低端的FPGA已逐步取代了传统的数字元件,高端的FPGA将会成为今后竞争的主流。

自1985年问世以来,FPGA从集成电路与系统家族一个不起眼的小角色逐渐成为电子设计领域的重要器件。它极提高了设计灵活性并缩短了产品上市时间,在通信、工业控制、航空领域中广泛应用。

FPGA行业集中度很高,几家美国公司掌握着行业的“制空权”。特别是在航空航天及军工等特殊领域,美国等少数国家对先进的技术保持封锁。因此,发展国FPGA产业不是要不要的问题,而是怎么发展的问题。国IC企业介入FPGA 的时间并不长,多数公司还处于学习阶段。

Altera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点也不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公

司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。

Altera的FPGA开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus II 在FPGA设计工具里是一个划时代的产品,它提供了一种与结构无关的图形化设计环境,功能强大,使用方便。设计者无须精通器件部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。

Quartus II是Altera公司在2001年推出的第四代开发工具,是一个集成化的多平台设计环境,能够直接满足特定设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持着一年一个新版本的更新进度。

1.3 FPGA软件设计工具Quartus II

Altera公司和Xilinx公司为代表的FPGA厂商,除了在FPGA产品线上不断推出新之外,也在不懈地提高开发软件的设计能力,他们的软件产品在很多方面一点都不逊色于专业的EDA厂商,所以从这个角度来说,FPGA厂商也是EDA公司。这里的代表性产品就是Altera公司的Quartus II开发软件和Xilinx公司的ISE开发软件。

Altera的FPGA开发工具已经经历了四代。从最初的基于DOS的A+Plus,发展到Max+Plus,1991年推出基于Windows的开发工具Max+Plus II。Max+Plus II 在FPGA设计工具里是一个划时代的产品,它提供了一种和结构无关的图形化的设计环境,功能强大,使用方便。设计者无需精通器件部的复杂结构,而只需要使用自己熟悉的设计输入工具(如原理图或者HDL语言)把自己的设计输入到计算机中,Max+Plus II就会自动把这些设计转换成最终结构所需的格式,用户只要把最后生成的配置数据通过下载电缆下载到芯片中,即完成了所有的工作。

Quartus II是Altera公司在2001年推出的第四代开发工具,是一个集成化的多平台设计环境,能够直接满足特定的设计需要,在FPGA和CPLD设计各个阶段都提供了工具支持,并为可编程片上系统(SOPC)提供全面的设计环境,是一个系统级的高效的EDA设计工具。而且,随着器件结构和性能的不断提高,器件集成度的不断扩大,Altera始终能够同步推出与之相适应的开发工具,满足了设计者的要求,近年来一直保持这一年一个新版本的更新进度。

Altera公司的Quartus II软件是一种集编辑,编译,综合,布局布线,仿真与器件编程于一体的集成设计环境。Quartus II软件支持基于VHDL与Verilog HDL等硬件描述语言的设计和基于图形的设计,部嵌有VHDL和Verilong HDL的逻辑综合器,也支持利用第三方的综合工具进行逻辑综合。进行设计仿真时,既可以利用Quartus II软件自己的仿真工具,也可以利用如ModelSim等第三方仿真工具。Quartus II软件除了进行基于FPGA的一般的数字系统开发外。还可以与MATLAB和DSP Builder结合,进行基于FPGA的DSP系统开发;使用嵌的SOPC Builder设计工具,配合Nios II IDE集成开发环境,进行基于Nios II软核处理器的嵌入式系统开发。

Quartus II软件的设计流程遵循典型的FPGA设计流程,包括设计输入,综合,布局布线,时序分析,仿真验证,编程配置等设计步骤,以及与布局布线有关的功耗分析,调试,工程更改管理,与时序分析和仿真验证有关的时序逼近。

2 FIR数字滤波器的理论研究及分析

2.1 数字滤波器的理论基础

数字滤波器是通过对数字信号的运算处理,改变信号频谱,完成滤波作用的算法或装置。数字滤波器由数字乘法器、加法器和延时单元组成的一种算法或装置。数字滤波器的功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。

数字滤波器一词出现在60年代中期。由于电子计算机技术和大规模集成电路的发展,数字滤波器已可用计算机软件实现,也可用大规模集成数字硬件实时实现。

数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号转换为所要求的输出离散时间信号的特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。

数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的或非线性的。应用最广的是线性、时不变数字滤波器,以及FIR滤波器。

2.2 数字滤波器的分类

数字滤波器有低通、高通、带通、带阻和全通等类型。它可以是时不变的或时变的、因果的或非因果的、线性的或非线性的。应用最广的是线性、时不变数字滤波器,以及FIR滤波器。

FIR滤波器:有限长单位冲激响应滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位

抽样响应是有限长的,因而滤波器是稳定的系统。

鉴于IIR数字滤波器最大缺点:不易做成线性相位,而现代图像、语声、数据通信对线性相位的要普遍的。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。

2.3 FIR数字滤波器的设计方法

FIR滤波器设计方法以直接逼近所需离散时间系统的频率响应为基础。设计方法过去主要包括窗函数法和最优化方法(等同波纹法)。本文主要采用模块法。

在本次设计过程中,运用的是Altera公司的Quartus II软件中的一款DSP Builder设计工具,与MATLAB相结合,利用MATLAB中自带的滤波器模块与DSP Builder中所包含的FPGA模块构建FIR数字滤波器,并在Simulink 中实现仿真。

3 FPGA DSP系统设计分析

3.1 DSP的基本概念

数字信号处理(DSP)技术的迅速发展,已经广泛应用于3G通信,网络会议,多媒体系统,雷达声纳,医学仪器,实时图像识别以及民用电器等,而且所有这一切在功能实现,性能指标与成本方面都在不断增加其要求。

数字信号处理与模拟信号处理相比有许多优点,如相对于温度和工艺的变化,数字信号要比模拟信号更稳健,在数字表示中可以改变信号的字长来更好的控制精度,与模拟信号号和噪声同时被放大不同,DSP技术可以在放大信号的同时将噪声和干扰去除,数字信号还可以不带误差的被存储和恢复,发送和接收,处理和操控。

由于DSP与其他通用计算机技术互相区别的两个重要特性是实时流量要求和数据驱动特性。与通用计算机技术先在缓存器存储数据再按批作业处理不同,DSP的硬件实现应该首先满足实时处理的流量约束的要求,从信号源周期地接受新的输入采样必须即时进行处理。但是,一旦硬件达到所要求的采样率,就没有必要提高计算的执行速度了。

在DSP系统中,一旦所有的输入数据有效,就可以执行任何的处理任务或计算,在这个意义上,这些系统由数据流同步,而不是由系统的时钟同步,这使得DSP系统可以利用没有全局时钟要求的异步电路,DSP算法由对一个无限时间序列重复地执行相同代码不终止的程序来描述。

在处理或计算中,全部算法执行一次称为一个迭代。迭代周期是执行算法的一个迭代要求的时间,它的倒数是迭代率。DSP系统根据每秒处理的采样率,用采样率来表征,也称为流量。

在进行计算的组合逻辑电路中,从输入到输出的最长路径定义为关键通道。此时一个路径的长度正比于它的计算时间。DSP系统通常是利用时序电路来实现的,其中关键通道是由任何两个寄存元件(或延迟元件)之间的最长路径来定义的。关键通道的计算时间决定一个DSP系统的最小可处理的时钟周期或最大的时钟频率。

等待时间定义为由系统接受相应的输入到产生一个输出之间的时间差。对于只包含组合逻辑的系统,等待时间通常按照绝对的时间单位或者门延迟的数目表示。对于时序系统,等待时间通常按照时钟周期数来表示。DSP 系统的时钟速率与它的采样率一般是不相同的。

3.2 FPGA 实现DSP 的特点

要实现一个基本的数字信号处理系统,需要加法器,乘法器和存储器。FPGA 部包括了上述的所有器件,因而成为实现DSP 的理想选择。

要采用FPGA 实现DSP 算法,必须经过量化。一般情况下,DSP 就是把输入序列通过一定的运算变换成输出序列。可以采用如下公式表示

)()()(01k n x k n x n y M

k k N k k b a -+-=∑∑== 在公式中,系数a k ,

b k 通常都是通过理论计算或者MATLAB 工具计算得到的。前者采用的是无限精度,后者采用的是双精度浮点数。无论是无限精度还是双精度浮点数,FPGA 芯片都是无法直接处理的。所以必须将系数a k ,b k 进行量化,以有限长的二进制数的形式表示。量化采用的二进制位数越多,精度越高,但耗费的FPGA 资源就越多,设计中根据系统的指标对精度和资源进行折衷。

对系数进行量化后,还需要选取运算结构,不同的结构所需的存储器及乘法器资源是不同的,前者影响复杂度,后者影响运算速度。此外,在有限精度(有限字长)情况下,不同运算结构的误差,稳定性是不同的。

对系数进行量化并选取适当运算结构后,便可以采用FPGA 来实现DSP 系统了。实现嵌入式DSP 系统,已经不能像一般的数字系统的设计那样,从寄存器传输级利用硬件描述语言直接进行描述,而是要先脱离开硬件实现的结构,从算法的角度对所涉及的系统进行建模,方针和优化。

FPGA 是具有极高并行度的信号处理引擎,能够满足算法复杂度不断增加的应用要求,通过并行方式提供极高性能的信号处理能力。

FPGA 的DSP 系统实现高性能的数字信号处理,主要基于三个因素:

(1) 高度的并行性:FPGA 能实现高性能数字信号处理是因为FPGA 是高度并

行处理的引擎,对于多通道的DSP设计是理想的器件;

(2)重构的灵活性:FPGA的硬件可再配置特性使其实现的高性能DSP具有极大的灵活性,对于所设想的算法可以用专门的定制结构实现;

(3)最佳的性价比:随着半导体工艺的线宽进一步缩小,器件规模增加,FPGA 价格不断降低,可以花费低的成本实现设计系统的集成化。

3.3 DSP Builder设计工具及设计规则

DSP Builder是一个系统级(或算法级)设计工具。DSP Builder将The MathWorks MATLAB和Simulink系统级设计工具的算法开发、仿真和验证功能与VHDL综合、仿真和Altera开发工具整合在一起,实现了这些工具的集成。

DSP Builder构架在多个软件工具之上,并把系统级和RTL级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势。DSP Builder依赖于Math Works公司的数学分析工具MATLAB/Simulink,以Simulink的Blockset出现,可以在Simulink中进行图形化设计和仿真,同时又通过Signal Compiler 可以把MATLAB/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),以及用于控制综合与编译的TCL脚本。

使用DSP Builder模块迅速生成Simulink系统建模硬件。DSP Builder包括比特和周期精度的Simulink模块,涵盖了算法和存储功能等基本操作。可以使用DSP Builder模型中的MegaCore功能实现复杂功能的集成。

图3.1 DSP Builder设计流程图

DSP Builder设计规则遵循以下三点:

(1)位宽设计规则

在Simulink中,所有数据是利用双精度(double)来表示的,它是64位二进制的补码浮点数,而双精度数对FPGA是不可行的。所以需要将Simulink中双精度浮点数转换成FPGA中的定点数。

对于硬件电路设计,Simulink信号必须转换成与硬件结构相对应的总线格式。因此,浮点值必须转换为定点值。这种转换是硬件设计的关键步骤,因为转

换的位数和小数点的位置将直接影响所需的硬件资源和系统精度。一般情况下,转换为较多的位数精度较高,但是需要较多的硬件资源,对于设计者来说,就是在资源与性能之间找到一个折衷的方案,以达到最高的性价比。

(2)频率设计规则

如果设计中不包含PLL和其他分频模块,DSP Builder使用同步设计规则将Simulink设计转换成硬件设计,在DSP Builder中,所有的时许模块(如Delay 模块)都是以单一时钟上升沿工作,这个时钟频率为整个系统的采样频率。值得注意的是,在Simulink中的失序模块时钟引脚是不显示的,但是当该模块通过DSP Builder转换为VHDL语言后,将会在这些时序模块上自动加上时钟引脚,默认的时钟引脚为clock,默认的低电平复位引脚名称为aclr。

如果设计中包含了PLL和分频模块,DSP Builder模块将根据PLL或分频模块输出时钟组中的某一时钟的上升沿工作,相应的系统就成俄日多时钟系统。

DSP Builder模块可以利用多个Simulink采样周期运行。时钟域可以在DSP Builder的模块资源中进行设定。时钟域也可以在DSP Builder的速率变更模块(如Tsamp)资源中进行规定。

当利用多个采样周期时,DSP Builder必须将每个采样周期与实际时钟域联系,所以DSP Builder模块必须包含DSP Builder速率变更模块(顶层的PLL或Clock_Derived)。

(3)时序关系对比

在DSP Builder和Simulink中惊醒仿真的方法,时序模型,驱动和输出之间的存在关系对比。

4基于FPGA的FIR低通滤波器设计

4.1设计方案

图4.1 滤波器设计流程图

FIR低通滤波器参数为:系统频率为50MHz,通带截止频率Fpass为1MHz,阻带截止频率Fstop为4MHz,通带最大衰减Apass为1dB,阻带最小衰减Astop 为30dB.

4.2 FDATool滤波器设计

MATLAB集成了一套功能强大的滤波器设计工具FDATool,可以完成多种滤波器的设计、分析和性能评估。

利用MATLAB工具箱滤波器设计工具设计滤波器,FDATool初始界面如图4.2所示,

图4.2 FDATool初始界面

根据给出滤波器设计要求,修改参数。滤波器类型选择FIR,系统频率Fs修改为50MHz,通带截止频率Fpass修改为1MHz,阻带截止频率Fstop修改为4MHz,通带最大衰减Apass修改为1dB,阻带最小衰减Astop修改为30dB。参数设置完成后,自动完成滤波器的设计,并给出滤波器幅频响应图,如图4.3所示。

图4.3 滤波器设计图

4.3 FPGA定点数的确定

滤波器设计完全后,首先导出以双精度形式给出的滤波器系数,而根据DSP Builder设计规则中的位宽设计规则,双精度数对FPGA是不可行的,所以需要将双精度浮点数转换成FPGA中的定点数。

4.3.1导出系数文件

滤波器设计完成后,设置导出系数文件的格式与数据类型,导出窗口如图4.4所示,

图4.4 导出系数文件格式和数据类型

导出并自动打开系数文件。默认情况下,系数是以双精度形式给出,得到的系数如图4.5所示。

图4.5 滤波器双精度系数

4.3.2 FPGA定点数转换

根据DSP Builder的位宽设计规则:在Simulink中,所有数据是利用双精度(double)来表示的,它是64位二进制的补码浮点数,而双精度数对FPGA是不可行的。所以需要将Simulink中双精度浮点数转换成FPGA中的定点数。

在MATLAB中编程进行处理,输入如图4.6所示。

图4.6 双精度系数转换定点数

最终得到处理后的滤波器系数如图4.7所示。

图4.7 定点数滤波器系数

4.4 FIR滤波器模型的建立

在Simulink中建立一模型。利用MATLAB中自带的滤波器模块与DSP Builder 中所包含的FPGA模块构建FIR数字滤波器。

4.4.1乘加子系统的搭建

添加5个Altera DSP Builder Blockset文件夹中,Arithmetic库中的Multiply Add模块。

图4.8 Multiply Add模块

其中,第一个Multiply Add模块参数设置如图4.9和4.10所示:

图4.9 Multiply Add模块参数设置(Main选项卡)

图4.10 Multiply Add模块参数设置(Optional Ports and Settings选项卡)

其余4个Multiply Add模块中的常数值(Constant Values)选项分别对应4.3.2节中所得到的FIR滤波器系数。分别为:

【50 63 75 85】【93 97 97 93】【85 75 63 50】【37 27 24 -6】添加Altera DSP Builder Blockset文件夹中,Arithmetic库中的Parallel

Adder Subtractor模块,

图4.11 Parallel Adder Subtractor模块

参数设置如图4.12所示。

图4.12 Parallel Adder Subtractor模块参数设置

将各模块进行连线,并选中所有模块,创建乘加子系统,如图4.13所示。

图4.13 创建子系统

图4.14 乘加子系统

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

巴特沃斯数字低通滤波器

目录 1.题目.......................................................................................... .2 2.要求 (2) 3.设计原理 (2) 3.1 数字滤波器基本概念 (2) 3.2 数字滤波器工作原理 (2) 3.3 巴特沃斯滤波器设计原理 (2) 3.4脉冲响应不法 (4) 3.5实验所用MA TLAB函数说明 (5) 4.设计思路 (6) 5、实验内容 (6) 5.1实验程序 (6) 5.2实验结果分析 (10) 6.心得体会 (10) 7.参考文献 (10)

一、题目:巴特沃斯数字低通滤波器 二、要求:利用脉冲响应不变法设计巴特沃斯数字低通滤波器,通带截止频率100HZ,采样频率1000HZ ,通带最大衰减为0.5HZ ,阻带最小衰减为10HZ ,画出幅频、相频相应相应曲线。并假设一个信号x(t)=sin(2*pi*f1*t)+sin(2*pi*f2*t),其中f1=50HZ,f2=200HZ 。用此信号验证滤波器设计的正确性。 三、设计原理 1、数字滤波器的基本概念 所谓数字滤波器,是指输入、输出均为数字信号,通过数值运算处理改变输入信号所含频率成分的相对比例,或者滤波器除某些频率成分的数字器件或程序,因此,数字滤波的概念和模拟滤波相同,只是的形式和实现滤波方法不同。正因为数字滤波通过数值运算实现滤波,所以数字滤波处理精度高、稳定、体积小、质量轻、灵活、不存在阻抗匹配问题,可以实验模拟滤波器无法实现的特殊滤波功能。如果要处理的是模拟信号,可通过A\DC 和D\AC,在信号形式上进行匹配转换,同样可以使用数字滤波器对模拟信号进行滤波。 2、数字滤波器的工作原理 数字滤波器是一个离散时间系统,输入x(n)是一个时间序列,输出y(n)也是一个时间序列。如数字滤波器的系统函数为H(Z),其脉冲响应为h(n),则在时间域内存在下列关系 y(n)=x(n) h(n) 在Z 域内,输入输出存在下列关系 Y(Z)=H(Z)X(Z) 式中,X(Z),Y(Z)分别为输入x(n)和输出y(n)的Z 变换。 同样在频率域内,输入和输出存在下列关系 Y(jw)=X(jw)H(jw) 式中,H(jw)为数字滤波器的频率特性,X(jw)和Y(jw)分别为x(n)和y(n)的频谱。w 为数字角频率,单位rad 。通常设计H(jw)在某些频段的响应值为1,在某些频段的响应为0.X(jw)和H(jw)的乘积在频率响应为1的那些频段的值仍为X(jw),即在这些频段的振幅可以无阻碍地通过滤波器,这些频带为通带。X(jw)和H(jw)的乘积在频段响应为0的那些频段的值不管X(jw)大小如何均为零,即在这些频段里的振幅不能通过滤波器,这些频带称为阻带。 一个合适的数字滤波器系统函数H(Z)可以根据需要输入x(n)的频率特性,经数字滤波器处理后的信号y(n)保留信号x(n)中的有用频率成分,去除无用频率成分。 3、巴特沃斯滤波器设计原理 (1)基本性质 巴特沃斯滤波器以巴特沃斯函数来近似滤波器的系统函数。巴特沃斯滤波器是根据幅频特性在通频带内具有最平坦特性定义的滤波器。 巴特沃思滤波器的低通模平方函数表示1 () ΩΩ+ =Ωc N /22 a 11 ) (j H

绝对经典的低通滤波器设计报告

经典 无源低通滤波器的设计

团队:梦知队 团结奋进,求知创新,追求卓越,放飞梦想 队员: 日期:2010.12.10 目录 第一章一阶无源RC低通滤波电路的构建 (3) 1.1 理论分析 (3) 1.2 电路组成 (4) 1.3 一阶无源RC低通滤波电路性能测试 (5) 1.3.1 正弦信号源仿真与实测 (5) 1.3.2 三角信号源仿真与实测 (10) 1.3.3 方波信号源仿真与实测 (15) 第二章二阶无源LC低通滤波电路的构建 (21) 2.1理论分析 (21) 2.2 电路组成 (22) 2.3 二阶无源LC带通滤波电路性能测试 (23) 2.3.1 正弦信号源仿真与实测 (23) 2.3.2 三角信号源仿真与实测 (28)

2.3.3 方波信号源仿真与实测 (33) 第三章结论与误差分析 (39) 3.1 结论 (39) 3.2 误差分析 (40) 第一章一阶无源RC低通滤波电路的构建1.1理论分析 滤波器是频率选择电路,只允许输入信号中的某些频率成分通过,而阻止其他频率成分到达输出端。也就是所有的频率成分中,只是选中的部分经过滤波器到达输出端。 低通滤波器是允许输入信号中较低频率的分量通过而阻止较高频率的分量。 图1 RC低通滤波器基本原理图 当输入是直流时,输出电压等于输入电压,因为Xc无限大。当输入

频率增加时,Xc减小,也导致Vout逐渐减小,直到Xc=R。此时的频率为滤波器的特征频率fc。 解出,得: 在任何频率下,应用分压公式可得输出电压大小为: 因为在=时,Xc=R,特征频率下的输出电压用分压公式可以表述为: 这些计算说明当Xc=R时,输出为输入的70.7%。按照定义,此时的频率称为特征频率。 1.2电路组成

二阶带通滤波器课程设计.

一、制作一个1000Hz 的正弦波产生电路: 图1.1 正弦波产生电路 1.1 RC 桥式振荡电路 RC 桥式振荡电路如图(1.1)所示。这个电路由两部分组成,即放大电路和选频网络。其中,R1、C1和R2、C2为串、并联选频网络,接于运算放大器的输出与同相输入端之间,构成正反馈,以产生正弦自激振荡。R3、W R 及R4组成负反馈网络,调节W R 可改变负反馈的反馈系数,从而调节放大的电压增益,使电压增益满足振荡的幅度条件。RC 串并联网络与负反馈中的R3、W R 刚好组成一个四臂电桥,电桥的对角线顶点接到放大器A1的两个输入端,桥式振荡电路的名称即由此得来。 分析RC 串并联网络的选频特性,根椐正弦波振荡电路的振幅平衡条件,选择合适的放大指标,构成一个完整的振荡电路。 1.2 振荡电路的传递函数 由图(1.1)有 1111 Z R sC =+,2 2222 1Z 1R R C sC =+=2221R sC R + 其中,1Z 、2Z 分别为图1.1中RC 串、并联网络的阻值。 得到输入与输出的传递函数: F ν(s)= 21 2 1212221121()1 sR C R R C C s R C R C R C s ++++ =12 21122111212 11111()s R C s s R C R C R C R R C C ++++ (1.1) 由式(1.1)得 21212 R R 1 C C =ω 2 1210R R 1 C C = ?ω

取1R =2R =16k Ω,12C C ==0.01μF ,则有 1.3 振荡电路分析 就实际的频率而言,可用s j ω=替换,在0ωω=时,经RC 选频网络传输到运放同相端的电压与1o U 同相,这样,放大电路和由Z1和Z2组成的反馈网络刚好形成正反馈系统,可以满足相位平衡条件。 12 2 11221212 ()12v j C R F j j C R j C R C C R R ωωωωω= ++- (1.2) 令2 12101R R C C = ω,且R R R C C C ====2121,,则式(1.2)变为 ) (31 )(00ω ωωωω-+= j j F v (1.3) 由此可得RC 串并联选频网络的幅频响应 2 002)( 31ω ωωω-+= V F (1.4) 相频响应 3 )( arctan 0ω ωωω?--=f (1.5) 由此可知,当 2 12101R R C C = =ωω,或CR f f π21 0= = 时,幅频响应的幅度为最大,即 而相频响应的相位角为零,即 这说明,当2 12101R R C C = =ωω时,输出的电压的幅度最大(当输入电压的幅 度一定,而频率可调时),并且输出电压时输入电压的1/3,同时输出电压与输入

IIR数字滤波器设计原理

IIR 数字滤波器设计原理 利用双线性变换设计IIR 滤波器(只介绍巴特沃斯数字低通滤波器的设计),首先要设计出满足指标要求的模拟滤波器的传递函数)(s H a ,然后由)(s H a 通过双线性变换可得所要设计的IIR 滤波器的系统函数)(z H 。 如果给定的指标为数字滤波器的指标,则首先要转换成模拟滤波器的技术指标,这里主要是边界频率 s p w w 和的转换,对s p αα和指标不作变化。边界频率的转换关系为)21tan(2w T =Ω。接着,按照模拟低通滤波器的技术指标根据相应 设计公式求出滤波器的阶数N 和dB 3截止频率c Ω;根据阶数N 查巴特沃斯归一 化低通滤波器参数表,得到归一化传输函数 )(p H a ;最后,将c s p Ω=代入)(p H a 去归一,得到实际的模拟滤波器传输函数)(s H a 。之后,通过双线性变换法转换公式 11 112--+-=z z T s ,得到所要设计的IIR 滤波器的系统函数)(z H 。 步骤及内容 1) 用双线性变换法设计一个巴特沃斯IIR 低通数字滤波器。设计指标参数为: 在通带内频率低于π2.0时,最大衰减小于dB 1;在阻带内[]ππ,3.0频率区间上,最小衰减大于dB 15。 2) 以π02.0为采样间隔,绘制出数字滤波器在频率区间[]2/,0π上的幅频响应特 性曲线。 3) 程序及图形 程序及实验结果如下: %%%%%%%%%%%%%%%%%%

%iir_1.m %lskyp %%%%%%%%%%%%%%%%%% rp=1;rs=15; wp=.2*pi;ws=.3*pi; wap=tan(wp/2);was=tan(ws/2); [n,wn]=buttord(wap,was,rp,rs,'s'); [z,p,k]=buttap(n); [bp,ap]=zp2tf(z,p,k); [bs,as]=lp2lp(bp,ap,wap); [bz,az]=bilinear(bs,as,.5); [h,f]=freqz(bz,az,256,1); plot(f,abs(h)); title('双线性z 变换法获得数字低通滤波器,归一化频率轴'); xlabel('\omega/2\pi'); ylabel('低通滤波器的幅频相应');grid; figure; [h,f]=freqz(bz,az,256,100); ff=2*pi*f/100; absh=abs(h); plot(ff(1:128),absh(1:128)); title('双线性z 变换法获得数字低通滤波器,频率轴取[0,\pi/2]'); xlabel('\omega'); ylabel('低通滤波器的幅频相应');grid on; 运行结果: 00.050.10.150.20.25 0.30.350.40.450.500.1 0.2 0.3 0.40.50.60.70.8 0.9 1 双线性z 变换法获得数字低通滤波器,归一化频率轴 ω/2π低通滤波器的幅频相应

IIR数字滤波器的设计流程图讲课讲稿

目录 目录 0 前言 (1) 1.1数字滤波器简介 (1) 1.2使用数字滤波器的原因 (1) 1.3设计的原理和内容 (1) 工程概况 (2) 正文 (2) 3.1 设计的目的和意义 (2) 3.2 目标和总体方案 (2) 3.3 设计方法和内容 (3) 3.4 硬件环境 (3) 3.5软件环境 (3) 3.6IIR数字滤波器设计思路 (3) 3.7 IIR数字滤波器的设计流程图 (3) 3.8 IIR数字滤波器设计思路 (4) 3.9设计IIR数字滤波器的两种方法 (4) 3.10双线性变换法的基本原理 (5) 3.11用双线性变换法设计IIR数字滤波器的步骤 (6) 3.12程序源代码和运行结果 (6) 3.12.1低通滤波器 (6) 3.12.3带通滤波器 (10) 3.12.4带阻滤波器 (13) 3.13结论 (15) 3.13.1存在的问题 (15) 3.13.2解决方案 (16) 致谢 (16)

参考文献 (16) 前言 1.1数字滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。可以设计系统的频率响应,让它满足一定的要求,从而对通过该系统的信号的某些特定的频率成分进行过滤,这就是滤波器的基本原理。如果系统是一个连续系统,则滤波器称为模拟滤波器。如果系统是一个离散系统,则滤波器称为数字滤波器。 信号通过线性系统后,其输出信号就是输入信号和系统冲激响应的卷积。从频域分析来看,信号通过线性系统后,输出信号的频谱将是输入信号的频谱与系统传递函数的乘积。除非为常数,否则输出信号的频谱将不同于输入信号的频谱,某些频率成分较大的模,因此,中这些频率成分将得到加强,而另外一些频率成分的模很小甚至为零,中这部分频率分量将被削弱或消失。因此,系统的作用相当于对输入信号的频谱进行加权。 1.2使用数字滤波器的原因 数字滤波器具有比模拟滤波器更高的精度,甚至能够实现后者在理论上也无法达到的性能。数字滤波器相比模拟滤波器有更高的信噪比。数字滤波器还具有模拟滤波器不能比拟的可靠性。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。 1.3设计的原理和内容 在windows环境下进行语言信号采集,通过IIR数字滤泼器的设计,数字带滤波器就是用软件来实现上面的滤波过程,可以很好的克服模拟滤波器的缺点,数字带滤波器的参数一旦确定,就不会发生变化。IIR型有较好的通带与阻带特性,所以,在一般的设计中选用IIR 型。IIR型又可以分成Butterworth型滤波器,ChebyshevII型滤波器和椭圆型滤波器等。 IIR数字滤波器的设计一般是利用目前已经很成熟的模拟滤波器的设计方法来进行设计,通常采用模拟滤波器原型有butterworth函数、chebyshev函数、bessel函数、椭圆滤波器函数等。 IIR数字滤波器的设计步骤: (1)按照一定规则把给定的滤波器技术指标转换为模拟低通滤波器的技术指标; (2)根据模拟滤波器技术指标设计为响应的模拟低通滤波器; (3)很据脉冲响应不变法和双线性不变法把模拟滤波器转换为数字滤波器;

切比雪夫1型数字低通滤波器

目录 1. 数字滤波器的设计任务及要求 (2) 2. 数字滤波器的设计及仿真 (2) 2.1数字滤波器的设计 (3) 2.2数字滤波器的性能分析 (3) 3. 数字滤波器的实现结构对其性能影响的分析 (8) 3.1数字滤波器的实现结构一及其幅频响应 (10) 3.2数字滤波器的实现结构二及其幅频响应 (12) 3.3 数字滤波器的实现结构对其性能影响的小结 (12) 4. 数字滤波器的参数字长对其性能影响的分析 (13) 4.1数字滤波器的实现结构一参数字长及幅频响应特性变化 4.2数字滤波器的实现结构二参数字长及幅频响应特性变化 4.3 数字滤波器的参数字长对其性能影响的小结 (16) 5. 结论及体会 (16) 5.1 滤波器设计、分析结论 (16) 5.2 我的体会 (16) 5.3 展望 (16)

1.数字滤波器的设计任务及要求 1. 设计说明 每位同学抽签得到一个四位数,由该四位数索引下表确定待设计数字滤波器的类型及其设计方法,然后用指定的设计方法完成滤波器设计。 要求:滤波器的设计指标: 低通: (1)通带截止频率πrad (id) pc 32 ln = ω (2)过渡带宽度πrad ) (i d 160 10log tz ≤?ω (3)滚降dB αroll 60= 其中,i d — 抽签得到那个四位数(学号的最末四位数),本设计中i d =0201。 2. 滤波器的初始设计通过手工计算完成; 3. 在计算机辅助计算基础上分析滤波器结构对其性能指标的影响(至少选择两种以上合适的滤波器 结构进行分析); 4. 在计算机辅助计算基础上分析滤波器参数的字长对其性能指标的影响; 5. 以上各项要有理论分析和推导、原程序以及表示计算结果的图表; 6. 课程设计结束时提交设计说明书。 2.数字滤波器的设计及仿真 2.1数字滤波器(编号0201)的设计 数字滤波器是数字信号处理的重要工具之一,它通过数值运算处理改变输入信号所含频率成分的相对比例或者滤出某些频率成分的数字器件或程序,而数字滤波器处理精度高、体积小、稳定、重量轻、灵活、不存在阻抗匹配问题,可以实现模拟滤波器无法实现的特殊功能。 本次课程设计使用MATLAB 信号处理箱和运用切比雪夫法设计数字滤波器,将手工计算一个切比雪夫I 型的IIR 的低通模拟滤波器的系统函数,并在MATLAB 的FDATool 设计工具分析其性能指标。

简单低通滤波器设计及matlab仿真

东北大学 研究生考试试卷 考试科目: 课程编号: 阅卷人: 考试日期: 姓名:xl 学号: 注意事项 1.考前研究生将上述项目填写清楚. 2.字迹要清楚,保持卷面清洁. 3.交卷时请将本试卷和题签一起上交. 4.课程考试后二周内授课教师完成评卷工作,公共课成绩单与试卷交研究生院培养办公室, 专业课成绩单与试卷交各学院,各学院把成绩单交研究生院培养办公室. 东北大学研究生院培养办公室

数字滤波器设计 技术指标: 通带最大衰减: =3dB , 通带边界频率: =100Hz 阻带最小衰减: =20dB 阻带边界频率: =200Hz 采样频率:Fs=200Hz 目标: 1、根据性能指标设计一个巴特沃斯低通模拟滤波器。 2、通过双线性变换将该模拟滤波器转变为数字滤波器。 原理: 一、模拟滤波器设计 每一个滤波器的频率范围将直接取决于应用目的,因此必然是千差万别。为了使设计规范化,需要将滤波器的频率参数作归一化处理。设所给的实际频 率为Ω(或f ),归一化后的频率为λ,对低通模拟滤波器令λ=p ΩΩ/,则1 =p λ, p s s ΩΩ=/λ。令归一化复数变量为p ,λj p =,则p p s j j p Ω=ΩΩ==//λ。所以巴 特沃思模拟低通滤波器的设计可按以下三个步骤来进行。 (1)将实际频率Ω规一化 (2)求Ωc 和N 11010/2-=P C α s p s N λααlg 1 10 110lg 10 /10/--= 这样Ωc 和N 可求。 p x fp s x s f

根据滤波器设计要求=3dB ,则C =1,这样巴特沃思滤波器的设计就只剩一个参数N ,这时 N p N j G 222 )/(11 11)(ΩΩ+= += λλ (3)确定)(s G 因为λj p =,根据上面公式有 N N N p j p p G p G 22)1(11 )/(11)()(-+= += - 由 0)1(12=-+N N p 解得 )221 2exp(πN N k j p k -+=,k =1,2, (2) 这样可得 1 )21 2cos(21 ) )((1 )(21+-+-= --= -+πN N k p p p p p p p G k N k k 求得)(p G 后,用p s Ω/代替变量p ,即得实际需要得)(s G 。 二、双线性变换法 双线性变换法是将s 平面压缩变换到某一中介1s 平面的一条横带里,再通过标准变换关系)*1exp(T s z =将此带变换到整个z 平面上去,这样就使s 平面与z 平面之间建立一一对应的单值关系,消除了多值变换性。 为了将s 平面的Ωj 轴压缩到1s 平面的1Ωj 轴上的pi -到pi 一段上,可以通过以下的正切变换来实现: )21 tan(21T T Ω= Ω 这样当1Ω由T pi -经0变化到T pi 时,Ω由∞-经过0变化到∞+,也映射到了整个Ωj 轴。将这个关系延拓到整个s 平面和1s 平面,则可以得到

二阶带通滤波器课程设计

目录 1 课程设计的目的与作用 (1) 2 设计任务及所用multisim软件环境介绍 (1) 2.1 设计任务 (1) 2.2 Multisim软件环境介绍 (1) 3 电路模型的建立 (2) 4 理论分析及计算 (3) 5 仿真结果分析 (4) 6 设计总结和体会 (4) 7 参考文献 (5)

1 课程设计的目的与作用 目的:根据设计任务完成对二阶带通滤波器的设计,进一步加强对模拟电子技术的理解。了解二阶带通滤波器的工作原理,掌握对二阶带通滤波器频率特性的测试方法。 带通滤波器:其作用是允许某一段频带范围内的信号通过,而将此频带以外的信号阻断。常用于抗干扰设备中,以便接收某一段频带范围内的有效信号,而消除高频段和低频段的干扰和噪声。 2 设计任务及所用multisim软件环境介绍 2.1 设计任务 学会使用Multisim10软件设计二阶带通滤波器的电路,使学生初步了解和掌握二阶带通滤波器的设计、调试过程及其频率特性的测试方法,能进一步巩固课堂上学到的理论知识,了解带通滤波器的工作原理。 2.2 Multisim软件环境介绍 Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim 提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

有源低通滤波器设计报告要点

课程设计(论文)说明书 题目:有源低通滤波器 院(系):信息与通信学院 专业:通信工程 学生姓名: 学号: 指导教师: 职称: 2010年 12 月 19 日

摘要 低通滤波器是一个通过低频信号而衰减或抑制高频信号的部件。理想滤波器电路的频响在通带内应具有一定幅值和线性相移,而在阻带内其幅值应为零。有源滤波器是指由放大电路及RC网络构成的滤波器电路,它实际上是一种具有特定频率响应的放大器。滤波器的阶数越高,幅频特性衰减的速率越快,但RC网络节数越多,元件参数计算越繁琐,电路的调试越困难。根据指标,本次设计选用二阶有源低通滤波器。 关键词:低通滤波器;集成运放UA741;RC网络 Abstract Low-pass filter is a component which can only pass the low frequency signal and attenuation or inhibit the high frequency signal . Ideal frequency response of the filter circuit in the pass band should have a certain amplitude and linear phase shift, and amplitude of the resistance band to be zero. Active filter is composed of the RC network and the amplifier, it actually has a specific frequency response of the amplifier. Higher the order of the filter, the rate of amplitude-frequency characteristic decay faster, but more the number of RC network section, the more complicated calculation of device parameters, circuit debugging more difficult. According to indicators ,second-order active low-pass filter is used in this design . Key words:Low-pass filter;Integrated operational amplifier UA741;RC network,

IIR数字带通滤波器设计

课 程 设 计 报 告 课程名称: 数字带通滤波器设计 学生姓名: 学 号: 专业班级: 指导教师: 完成时间: 报告成绩: IIR 数字带通滤波器的设计

1课程设计目的 1掌握冲激响应不变法IIR 低通滤波器的设计。 2 通过对常用数字滤波器的设计和实现,掌握数字信号处理的工作原理及设计方法;熟悉用双线性变换法设计 IIR 数字滤波器的原理与方法,掌握利用数字滤波器对信号进行滤波的方法,掌握数字滤波器的计算机仿真方法,并能够对设计结果加以分析。 2.课程设计要求 采用双线性变换法设计一IIR 数字带通滤波器,抽样频率为 1s f kH z =,性能 要求为:通带范围从250Hz 到400Hz ,在此两频率处衰减不大于3dB , 在150Hz 和480Hz 频率处衰减不小于20dB ,采用巴特沃思型滤波器 3.设计原理 3.1用双线性变换法设计IIR 数字滤波器 脉冲响应不变法的主要缺点是产生频率响应的混叠失真。这是因为从S 平面到Z平面是多值的映射关系所造成的。为了克服这一缺点,可以采用非线性频率压缩方法,将整个频率轴上的频率范围压缩到-π/T ~π/T 之间,再用st e z =转 换到Z 平面上。也就是说,第一步先将整个S 平面压缩映射到S 1平面的-π/T ~π/T 一条横带里;第二步再通过标准变换关系z =e s 1T 将此横带变换到整个Z 平面上去。这样就使S 平面与Z 平面建立了一一对应的单值关系,消除了多值变换性,也就消除了频谱混叠现象,映射关系如图1-3所示。 图1双线性变换的映射关系 为了将s 平面的整个虚轴 Ω j 压缩到1s 平面1Ωj 轴上的-π/T 到π/T 段上, Z 平面 S 1 平面 S 平面

脉冲响应不变法设计数字低通滤波器

燕山大学 课程设计说明书 题目:脉冲响应不变法设计数字低通滤波器 学院(系):电气工程学院 年级专业:09级精密仪器及机械2班 学号: 0901******** 学生姓名:范程灏 指导教师:刘永红 教师职称:讲师

电气工程学院《课程设计》任务书 课程名称:数字信号处理课程设计 基层教学单位:仪器科学与工程系指导教师: 学号学生姓名(专业)班级设计题目7、脉冲响应不变法设计数字低通滤波器 设 计技术参数给定技术指标为:Hz f p 100 =,Hz f s 300 =,dB p 3 = α,dB s 20 = α,采样频率Hz F s 1000 =。 设 计 要 求 设计Butterworth低通滤波器,用脉冲响应不变法转换成数字滤波器。 参考资料数字信号处理方面资料MATLAB方面资料 周次前半周后半周 应完成内容收集消化资料、学习MA TLAB软件, 进行相关参数计算 编写仿真程序、调试 指导教师签字基层教学单位主任签字

目录 第1章前言 (3) 第2章数字信号处理部分基础知识 (3) 第3章 MATLAB部分基础知识 (8) 3.1 MATLAB介绍 (8) 3.2 MATLAB命令介绍 (8) 第4章仿真过程及仿真图 (9) 4.1 仿真程序 (9) 4.2 仿真波形 (10) 第5章设计结论 (10) 第6章参考文献 (11)

第一章 前言 《数字信号处理》课程设计是在学生完成数字信号处理和MATLAB 的结合后的基本实验以后开设的。本课程设计的目的是为了让学生综合数字信号处理和MATLAB 并实现一个较为完整的小型滤波系统。这一点与验证性的基本实验有本质性的区别。开设课程设计环节的主要目的是通过系统设计、软件仿真、程序安排与调试、写实习报告等步骤,使学生初步掌握工程设计的具体步骤和方法,提高分析问题和解决问题的能力,提高实际应用水平。 IIR 数字滤波器具有无限宽的冲激响应,与模拟滤波器相匹配,所以IIR 滤波器的设计可以采取在模拟滤波器设计的基础上进一步变换的方法。其设计方法主要有经典设计法、直接设计法和最大平滑滤波器设计法。FIR 数字滤波器的单位脉冲响应是有限长序列。它的设计问题实质上是确定能满足所要求的转移序列或脉冲响应的常数问题,设计方法主要有窗函数法、频率采样法和等波纹最佳逼近法等。 第2章 数字信号处理基础知识部分 2.1巴特沃斯滤波器的幅度平方函数及其特点 巴特沃斯模拟滤波器幅度平方函数的形式是 )N c N c a j j j H 222 )/(11 )/(11ΩΩ+= ΩΩ+= Ω (5-6)

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

设计数字低通滤波器(用matlab实现)

DSP 设计滤波器报告 姓名:张胜男 班级:07级电信(1)班 学号:078319120 一·低通滤波器的设计 (一)实验目的:掌握IIR 数字低通滤波器的设计方法。 (二)实验原理: 1、滤波器的分类 滤波器分两大类:经典滤波器和现代滤波器。 经典滤波器是假定输入信号)(n x 中的有用成分和希望取出的成分各自占有不同的频带。这样,当)(n x 通过一个线性系统(即滤波器)后可讲欲去除的成分有效的去除。 现代滤波器理论研究的主要内容是从含有噪声的数据记录(又称时间序列)中估计出信号的某些特征或信号本身。 经典滤波器分为低通、高通、带通、带阻滤波器。每一种又有模拟滤波器(AF )和数字滤波器(DF )。对数字滤波器,又有IIR 滤波器和FIR 滤波器。 IIR DF 的转移函数是: ∑∑=-=-+==N k k k M r r r z a z b z X z Y z H 10 1)()()( FIR DF 的转移函数是: ∑-=-=10)()(N n n z n h z H FIR 滤波器可以对给定的频率特性直接进行设计,而IIR 滤波器目前最通用的方法是利用已经很成熟的模拟滤波器的设计方法进行设计。 2、滤波器的技术要求 低通滤波器: p ω:通带截止频率(又称通带上限频率) s ω:阻带下限截止频率 p α:通带允许的最大衰减 s α:阻带允许的最小衰减 (p α,s α的单位dB ) p Ω:通带上限角频率 s Ω:阻带下限角频率 (s p p T ω=Ω,s s s T ω=Ω)即 C p p F ωπ2=Ω C s s F ωπ2=Ω 3、IIR 数字滤波器的设计步骤:

fir低通滤波器设计(完整版)

电子科技大学信息与软件工程学院学院标准实验报告 (实验)课程名称数字信号处理 电子科技大学教务处制表

电 子 科 技 大 学 实 验 报 告 学生姓名: 学 号: 指导教师: 实验地点: 实验时间:14-18 一、实验室名称:计算机学院机房 二、实验项目名称:fir 低通滤波器的设计 三、实验学时: 四、实验原理: 1. FIR 滤波器 FIR 滤波器是指在有限范围内系统的单位脉冲响应h[k]仅有非零值的滤波器。M 阶FIR 滤波器的系统函数H(z)为 ()[]M k k H z h k z -==∑ 其中H(z)是k z -的M 阶多项式,在有限的z 平面内H(z)有M 个零点,在z 平面原点z=0有M 个极点. FIR 滤波器的频率响应 ()j H e Ω 为 0 ()[]M j jk k H e h k e Ω -Ω ==∑ 它的另外一种表示方法为 () ()()j j j H e H e e φΩΩΩ=

其中 () j H e Ω和()φΩ分别为系统的幅度响应和相位响应。 若系统的相位响应()φΩ满足下面的条件 ()φαΩ=-Ω 即系统的群延迟是一个与Ω没有关系的常数α,称为系统H(z)具有严格线性相位。由于严格线性相位条件在数学层面上处理起来较为困难,因此在FIR 滤波器设计中一般使用广义线性相位。 如果一个离散系统的频率响应 ()j H e Ω 可以表示为 ()()()j j H e A e αβΩ-Ω+=Ω 其中α和β是与Ω无关联的常数,()A Ω是可正可负的实函数,则称系统是广义线性相位的。 如果M 阶FIR 滤波器的单位脉冲响应h[k]是实数,则可以证明系统是线性相位的充要条件为 [][]h k h M k =±- 当h[k]满足h[k]=h[M-k],称h[k]偶对称。当h[k]满足h[k]=-h[M-k],称h[k]奇对称。按阶数h[k]又可分为M 奇数和M 偶数,所以线性相位的FIR 滤波器可以有四种类型。 2. 窗函数法设计FIR 滤波器 窗函数设计法又称为傅里叶级数法。这种方法首先给出()j d H e Ω, ()j d H e Ω 表示要逼近的理想滤波器的频率响应,则由IDTFT 可得出滤波器的单位脉冲响应为 1 []()2j jk d d h k H e e d π π π ΩΩ-= Ω ? 由于是理想滤波器,故 []d h k 是无限长序列。但是我们所要设计的FIR 滤波 器,其h[k]是有限长的。为了能用FIR 滤波器近似理想滤波器,需将理想滤波器的无线长单位脉冲响应 []d h k 分别从左右进行截断。 当截断后的单位脉冲响应 []d h k 不是因果系统的时候,可将其右移从而获得因果的FIR 滤波器。

基于MATLAB的数字带通滤波器课程设计报告.doc

基于MATLAB的数字带通滤波器课程设计报告1 西安文理学院机械电子工程系 课程设计报告 专业班级08级电子信息工程1班 题目基于MATLAB的数字带通滤波器 学号 学生姓名 指导教师 2011 年12 月 西安文理学院机械电子工程系 课程设计任务书 学生姓名_______专业班级________ 学号______ 指导教师______ 职称副教授教研室电子信息工程课程数字信号处理题目 基于MATLAB 的数字带通滤波器设计任务与要求 设计任务:

要求设计一个IIR 带通滤波器,其中通带的中心频率为πω5.0=po ,通 带的截止频率πω4.01=p ,πω6.02=p ,通带最大衰减dB p 3=α;阻带最小 衰减dB s 15=α,阻带截止频率πω3.01=s ,πω7.02=s 。 设计要求: 1. 根据设计任务要求给出实现方案及实现过程。 2. 给出所实现的滤波器幅频特性及相频特性曲线并加以分析。 3. 论文要求思路清晰,结构合理,语言流畅,书写格式符合要求。 开始日期2011.12.19 完成日期2011.12.30 2011年12月18 日 一、设计任务 设计一数字带通滤波器,用IIR 来实现,其主要技术指标: 通带边缘频率:wp 1=0.4π,wp2=0.6π 通带最大衰减:Ap=3dB 阻带边缘频率:ws 1=0.3π,ws2=0.7π 阻带最小衰减:As=15dB 设计总体要求:用MATLAB 语言编程进行设计,给出IIR 数字滤波器 的参数,给出幅度和相位响应曲线,对IIR 实现形式和特点等方面进行讨

论。 二、设计方法 IIR 数字滤波器具有无限宽的冲激响应,与模拟滤波器相匹配,所以 IIR 滤波器的设计可以采取在模拟滤波器设计的基础上进一步变换的方法。比较常用的原型滤波器有巴特沃什滤波器(Butterworth )、切比雪夫滤波 器(Chebyshev )、椭圆滤波器(Ellipse )和贝塞尔滤波器(Bessel )等。他们有各自的特点,巴特沃什滤波器具有单调下降的幅频特性;切比雪夫 滤波器的幅频特性在通带和阻带里有波动,可以提高选择性;贝塞尔滤波 器通带内有较好的线性相位特性;椭圆滤波器的选择性最好。本设计IIR 数字滤波器采用巴特沃什滤波器[3]。 设计巴特沃什数字滤波器时,首先应根据参数要求设计出相应的模拟 滤波器,其步骤如下: (1)由模拟滤波器的设计指标wp ,ws ,Ap ,As 和式(1)确定滤波器 阶数N 。 )lg(2)110110lg(1.01.0w w s p As Ap N --≥ (1) (2)由式(2)确定wc 。

数字信号处理-低通滤波器设计实验

实验报告 课程名称:数字信号处理 实验名称:低通滤波器设计实验 院(系): 专业班级: 姓名: 学号: 指导教师: 一、实验目的: 掌握IIR数字低通滤波器的设计方法。 二、实验原理: 2.1设计巴特沃斯IIR滤波器 在MATLAB下,设计巴特沃斯IIR滤波器可使用butter 函数。 Butter函数可设计低通、高通、带通和带阻的数字和模拟IIR滤波器,其特性为使通带内的幅度响应最大限度地平坦,但同时损失截止频率处的下降斜度。在期望通带平滑的情况下,可使用butter函数。butter函数的用法为:

[b,a]=butter(n,Wn)其中n代表滤波器阶数,W n代表滤波器的截止频率,这两个参数可使用buttord函数来确定。buttord函数可在给定滤波器性能的情况下,求出巴特沃斯滤波器的最小阶数n,同时给出对应的截止频率Wn。buttord函数的用法为:[n,Wn]= buttord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 2.2契比雪夫I型IIR滤波器。 在MATLAB下可使用cheby1函数设计出契比雪夫I 型IIR滤波器。 cheby1函数可设计低通、高通、带通和带阻契比雪夫I 型滤IIR波器,其通带内为等波纹,阻带内为单调。契比雪夫I型的下降斜度比II型大,但其代价是通带内波纹较大。cheby1函数的用法为:[b,a]=cheby1(n,Rp,Wn,/ftype/)在使用cheby1函数设计IIR滤波器之前,可使用cheblord 函数求出滤波器阶数n和截止频率Wn。cheblord函数可在给定滤波器性能的情况下,选择契比雪夫I型滤波器的最小阶和截止频率Wn。cheblord函数的用法为: [n,Wn]=cheblord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 三、实验要求: 利用Matlab设计一个数字低通滤波器,指标要求如下:

相关主题
文本预览
相关文档 最新文档