当前位置:文档之家› 交通灯毕业论文.doc

交通灯毕业论文.doc

交通灯毕业论文.doc
交通灯毕业论文.doc

目录

前言: (1)

一、设计任务: (2)

二、题目分析与整体构思: (2)

三、硬件电路设计: (3)

四、程序设计: (7)

五、心得体会: (20)

六、设计创新: (20)

七、参考文献: (20)

前言

伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允许通行。

一﹑设计任务

设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。

二、题目分析与整体构思

(1)该交通灯控制器应具备的功能

设东西和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,

定为红灯45sec,黄灯5sec,绿灯40sec,同时用数码管指示当前状态(红、

黄、绿)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个

方向都禁止通行,指示红灯。紧急状态解除后,重新计数并指示时间。

(2) 实现方案

一从题目中计数值与交通灯的亮灭的关系如图(1)所示

三﹑硬件电路设计

(1)分频器

分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器实现的是一千分频,将一千赫兹的时钟信号分频成一赫兹的时钟信号。

(2)控制器设计

控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。此外,当检测到特殊情况(HOLD=‘1’)发生时,无条件点亮红灯的二极管。本控制器可以有两种设计方法,一种是利用时钟烟的下降沿读取前级计数器的计数值,然后作出反应;另一种则是将本模块设计成纯组合逻辑电路,不需要时钟驱动。这两种方法各有所长,必须根据所用器件的特性进行选择:比如有些FPGA有丰富的寄存器资源,而且可用与组合逻辑的资源则相对较少,那么使用第一种方法会比较节省资源;而有些CPLD的组合逻辑资源则比较多,用第二种方法可能更好。

(3)计数器设计

这里需要的计数器的计数范围为0-90。计到90后,下一个时钟沿回复到0,开始下一轮计数。此外,当检测到特殊情况(HOLD=‘1’)发生是,计数器暂停计数,而系统复位信号RESET则使计数器异步清零。

(4)分位译码电路设计--1

因为控制器输出的到计时数值可能是1位或者2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为2个1位的十进制数,如25分为2和5,7分为0和7)。

与控制器一样,分位电路同样可以由时钟驱动,也可以设计成纯组合逻辑电路。控制器中,引入了寄存器。为了让读者开拓眼界,分位电路就用组合逻辑电路实现。

(5)分位译码电路设计—2

(6)数码管驱动设计

串行连接,即每个数码管对应的引脚都接在一起(如每个数码管的a引脚都接到一起,然后再接到CPLD/FPGA上的一个引脚上),通过控制公共端为高电平控制相应数码管的亮、灭(共阴极数码管的公共端为高电平时,LED不亮;共阳极的公共端为低电平时,LED不亮)。

串行法的优点在于消耗的系统资源少,占用的I/O口少,N个数码管只需要(7+N)个引脚(如果需要小数点,则是(8+N)个引脚)。其缺点是控制起来不如并行法容易。

(7)下图为交通灯控制器的顶层文件连接图

四、程序设计

(1)分频器的设计

LIBRARY IEEE;

USE IEEE.Std_Logic_1164.ALL;

ENTITY FreDevider IS

PORT

(Clkin:IN Std_Logic;

Clkout:OUT Std_Logic);

END;

ARCHITECTURE Devider OF FreDevider IS CONSTANT N:Integer:=499;

signal counter:Integer range 0 to N; signal Clk:Std_Logic;

BEGIN

PROCESS(Clkin)

begin

IF rising_edge(Clkin)THEN

IF Counter=N then

counter<=0;

Clk<=not clk;

else

counter<=counter+1;

end if;

end if;

end process;

clkout<=clk;

end;

(2)控制设计

控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段译管的分译码电路。此外,当检测到特殊情况(Hold=‘1’)发生时,无条件点亮红色的发光二极管。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY countroller IS

PORT (Clock:IN STD_LOGIC;

Hold:in std_logic;

CountNum:in INTEGER RANGE 0 TO 89;

NumA,NumB:out INTEGER RANGE 0 TO 45;

RedA,GreenA,YellowA:out std_logic;

RedB,GreenB,YellowB:out std_logic);

END;

ARCHITECTURE behavior OF Countroller IS

BEGIN

process(Clock)

BEGIN

IF falling_edge(Clock)THEN

IF Hold='1' THEN

RedA<='1';

RedB<='1';

GreenA<='0';

GreenA<='0';

YellowA<='0';

YellowB<='0';

ELSIF CountNum<=39 THEN

NumA<=40-CountNum;

RedA<='0';

GreenA<='1';

YellowA<='0';

ELSIF CountNum<=44 THEN

NumA<=45-CountNum;

RedA<='0';

GreenA<='0';

YellowA<='1';

ELSE

NumA<=90-CountNum;

RedA<='1';

GreenA<='0';

YellowA<='0';

END IF;

IF CountNum<=44 THEN

NumB<=45-CountNum;

RedB<='1';

GreenB<='0';

YellowB<='0';

ELSIF CountNum<=84 THEN

NumB<=85-CountNum;

RedB<='0';

GreenB<='1';

YellowB<='0';

ELSe

NumB<=90-CountNum;

RedB<='0';

GreenB<='0';

YellowB<='1';

END IF;

END IF;

END PROCESS;

END;

(3)计数器的设计

这里计数器的计数范围为0—45S 。计到45后,下一个时钟沿回复到0,开始下一轮计数.此外,当检测到特殊情况(Hold=‘1‘)发生时,计数器暂停计数,而系统复位号Reset则使计数器异步清0。

程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY counter IS

PORT (clock:IN STD_LOGIC;

reset:in std_logic;

Hold:in std_logic;

countNum:BuFFeR INTEGER RANGE 0 TO 90); END;

ARCHITECTURE behavior OF counter IS BEGIN

process(reset,Clock)

BEGIN

IF Reset='1' THEN

countNum<=0;

ELSIF rising_edge(Clock) THEN

IF Hold='1' then

countNum<=countNum;

ELSE

IF countNum=90 THEN

countNum<=0;

ELSE

countNum<=countNum+1;

END IF;

END IF;

END IF;

END PROCESS;

END;

(4)分位译码电路设计--1

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Fenwei IS

PORT

(Numin:IN integer RANGE 0 TO 45;

NumA,NumB:OUT Integer RANGE 0 to 9

);

END;

ARCHITECTURE behavior OF Fenwei IS

BEGIN

process(Numin)

BEGIN

IF Numin>=40 THEN

NumA<=4;

NumB<=Numin-40;

ELSIF Numin>=30 THEN

NumA<=3;

NumB<=Numin-30;

ELSIF Numin>=20 THEN

NumA<=2;

NumB<=Numin-20;

ELSIF Numin>=10 THEN

NumA<=1;

NumB<=Numin-10;

ELSE

NumA<=0;

NumB<=Numin;

END IF;

END PROCESS;

END;

(5)分位译码电路设计—2

USE IEEE.STD_LOGIC_1164.ALL; ENTITY Fenwei2 IS

PORT

(Numin:IN integer RANGE 0 TO 45; NumC,NumD:OUT Integer RANGE 0 to 9 );

END;

ARCHITECTURE behavior OF Fenwei2 IS BEGIN

process(Numin)

BEGIN

IF Numin>=40 THEN

NumC<=4;

NumD<=Numin-40;

ELSIF Numin>=30 THEN

NumC<=3;

NumD<=Numin-30;

ELSIF Numin>=20 THEN

NumC<=2;

NumD<=Numin-20;

ELSIF Numin>=10 THEN

NumC<=1;

NumD<=Numin-10;

ELSE

NumC<=0;

NumD<=Numin;

END IF;

END PROCESS;

END;

(6)数码管驱动设计

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bcd_data IS

PORT

(bcd_data:in STD_LOGIC_VECTOR(3 downto 0); segout: out STD_LOGIC_VECTOR(6 downto 0) );

END;

ARCHITECTURE behavior OF bcd_data IS BEGIN

process(bcd_data)

BEGIN

case bcd_data is

when "0000"=>segout<="1111110";

when "0001"=>segout<="0110000";

when "0010"=>segout<="1101101";

when "0011" =>segout<="1111001" ;

when "0100" =>segout<="0110011" ;

when "0101"=>segout<="1011011" ;

when "0110"=>segout<="0011111" ;

when "0111"=>segout<="1110000" ;

when "1000" =>segout<="1111111" ;

when "1001" =>segout<="1110011";

when others =>null;

END CASE;

END PROCESS;

END;

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_unsigned.ALL;

ENTITY dtsm IS

PORT(clk:in STD_LOGIC;

NumA,NumB,NumC,NumD: in STD_LOGIC_VECTOR(3 downto 0); segout1:out STD_LOGIC_VECTOR(6 downto 0);

led_sel: out STD_LOGIC_VECTOR(3 downto 0));

END dtsm;

architecture bhv of dtsm is

component bcd_data is

port (bcd_data:in STD_LOGIC_VECTOR(3 downto 0);

segout:out STD_LOGIC_VECTOR(6 downto 0));

end component;

signal x:STD_LOGIC_VECTOR(3 downto 0);

signal q:STD_LOGIC_VECTOR(1 downto 0);

begin

p1:process(clk)

begin

if clk'event and clk ='1' then

Q<= Q + '1';

end if;

end process;

p2:process(Q)

begin

case Q is

when"00"=>led_sel<="1110";x<=NumD;

when"01"=>led_sel<="1101";x<=NumC;

when"10"=>led_sel<="1011";x<=NumB;

when"11"=>led_sel<="0111";x<=NumA;

when others=>null;

end case;

end process;

u1:bcd_data PORT map(bcd_data=>x,segout=>segout1);

end

五﹑设计创新

1﹑模块化编程,模块化接线,再编译总原理图,思路比较清楚解容易。

2﹑可以比较容易的改变红绿灯的时间。

3﹑有的模块可以供其它任务通用。

六﹑心得体会

EDA设计我感觉程序调试最重要,试验软件、硬件熟悉其次。我在编完各模块程序之后,编译查错最初有三十几个错误,有输入错误、语法错误。一遍一遍的变异查错,直到没有错误。必须注意工程名和实体名一致,不然一般会出错。在没有错误之后可以进行波型仿真。若与理想的不同,再查看程序,有无原理上的编辑错误或没有查出的输入错误。都通过可以进行管脚配对,把程序烧入芯片,在实物机上看结果,从显示中得出还需改正的地方,再去改程序。必须注意没改一次都要编译,重新烧入。

七﹑参考文献

(1)潘松,黄继业.2006.EDA技术使用教程.北京:科学出版社。

(2)黄任;2005;VHDL入门.解惑.经典实例.经验总结.北京:北京航空航天大学出版社。

(3)徐志军,徐光辉.2002.CPLD/FPGA的开发与应用.北京:电子工业出版社。

(4)褚振勇.FPGA设计与应用.西安:西安电子科技大学出版社。

毕业论文交通灯

1.引言 现代社会,红绿灯被安装在各个路口上,已经成为疏导行驶车辆最常见和最有效的方法和手段。据调查显示,这一技术在19世纪就已出现了。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 1.1交通信号灯应用现状 随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题。自改革开放以来,我国的城市规模和经济建设都有了飞速的发展,城市化进程在逐步加快,城市人口在急剧增加,车辆也越来越多,大量流动人口涌进城市,人员出行和物资交流频繁,随之而来的交通事故也发生地更加频繁,使城市交通面临着严峻的局势。当前,全国大中城市普遍存在着道路拥挤、车辆堵塞矛盾,使原来不太突出的交通问题被提上了日程。 信号灯的出现,虽然使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有了明显效果。同时随着人民的生活水平日渐提高,越来越多的汽车进入寻常老百姓的家庭,再加上政府大力发展的公交、出租车,车辆越来越多了。这不仅要求道路要越来越宽阔,而且要求有新的交通管理模式的出台。旧有的交通控制系统的弊病和人们越来越高的要求激化了市交通系统的管理机制不适应,使得对交通灯控制要求也就越来越高,交通灯的设计有很多实现的方法。从交通灯的重要性看来,交通灯工作一定要是稳定可靠的。如今红绿交通信号灯作为交管部门管理交通的重要工具之一,如何提供一个高效率的交通控制系统有着明显的必要性。 目前设计交通灯的方案有很多,有应用CPLD设计实现交通信号灯控制器方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通信号灯设计的方法。国内的交通灯一般设在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯。加上一个倒计时的显示计时器来控制行车。本系统就是在现有的基础上外加一些辅助功能,从而使交通信号倒计时系统功能更加完善、使用更加灵活。

智能交通信灯控制系统设计毕业论文

智能交通信灯控制系统 设计毕业论文 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

编 号: 毕业论文(设计) 题 目 智能交通信号灯控制系统设计 指导教师 xxx 学生姓名 杨红宇 学 号 专 业 教学单位 二O 一五年五月十日 德州学院毕业论文(设计)中期检查表 院(系): 专业: 2015 年

目录

杨红宇 要: 随着我现代社会交通运输需求量的不断扩大,如何处理好如此庞大的群 1 绪论 交通信号灯的简介 交通信号灯的发展现状 目前交通信号灯的种类多种多样,有的应用了CPLD 设计实现交通信号灯的控制;有的应用了PLC 实现交通信号灯的控制;有的应用单片机实现对交通信号灯的控制。我国的交通信号灯一般情况下设置在十字路口,在醒目的地方用红色、绿色、黄色三种指示灯,加上一个倒计时开控制人车通行。在一般情况下这种信号灯能保障安全,车辆分流也能发挥不错的作用,但是根据现在车流量日益增加的现状还存在着许多不足。比方说车辆放行时间固定,在十字路口经常出现东西和南北方向的车流量相差甚大的情况,这样如何给车流量较多的干道给予较多的放行时间就成了问题。 本课题研究的背景、目的和意义 随着城市机动车辆的不断增加,在我国许多的大城市出现了交通超负荷状况。自八十年代后期,这些城市修建了高速道路来缓解压力,在刚建好的初期这个决策很好的解

决了交通超负荷着状况。但是随着经济的发展,交通量的增长和高速路高昂的费用,高速路没有发挥人们本来预期的效果。如何用合理的方法在大限度的缓解交通压力成为交通管理者和城市规划部门的主要问题。 目前我国城市依然采用的是传统的交通信号灯控制模式,随着城市的不断发展,车流量的不断扩大,传统的交通信号灯出现了缺陷:一是车辆放行时,十字路口经常出现不同车流量干道放行时间相同,易造成车辆堆积,造成交通堵塞;二是当某干道上无车时,正好是干道的通车时间,在这时间内就造成了指挥盲点;三是当这一干道车流量很大时,不能够改变红绿灯的时间来延长这干道的通过时间,造成这干道的车辆不能通过造成堆积。 为了更好的解决这些问题,本文介绍的系统通过传感器检测车流量,用单片机对路口的车流量进行统计,并执行处理程序,来实现智能交通信号灯的控制,达到可以根据车流量来实时控制信号灯。该系统成本低、实用性好、安全可靠、安装方便等优点,具有广泛前景。 国内外的研究现状 国外发展状况:伦敦首先发明了信号灯,然后由美国进行改造用电脑及其软件使其智能化,国外已经研究出使用红外线,电磁感应等多种方式来让交通灯智能化,发展相对于我国要早很多。 国内发展状况:我国在交通管理方面水平还欠发展,随着交通需求越来越旺盛,而我国城市交通管理智能化不足。在车辆,道路和交通管理系统,城市交通信号控制系统,城市交通管制中应用人工智能技术,信息采集和信息提供技术等方面都与发达国家有很大差距。近几年,虽然有专人研究,但是应用效果不明显,成本高收益小成了难题。目前我国交通事故仍然频发,城市车辆逐渐增加,运输速度却普遍下降,这需要进一步提高城市交通智能化的强度,疏通城市心脏的血液。 2 智能交通信号灯系统总设计 单片机智能交通信号灯通行方案设计 图1 交通信号灯设计简图 该实时交通监控系统主要由车辆检测电路、数码显示电路、电源、以及交通灯控制系统等几个部分组成。交通灯控制模块作为本系统的核心部分, 采用 AT89C51 为CPU, 对整个系统进行控制和管理。本模块从车辆检测模块接收车流量信息, 并对接收到的信

(完整版)基于单片机的十字路口交通灯设计毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编辑。 摘要 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MCS-51系列单片机AT89C51为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P3口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过P1口输出,显示时间通过P0口输出至双位数码管)。本系统设计周期短、可靠性高、实用性强、操作简单、维护方便、扩展功能强。 关键词:单片机;交通灯 Abstract In recent years, with the rapid development of science and technology, the application of SCM is going deep, driving the traditional detection techniques to renew day by day. In the real-time examination and in the automatic control monolithic

integrated circuit application system, the monolithic integrated circuit often took a core part uses. The monolithic integrated circuit aspect knowledge is only insufficient, but should also act according to the concrete , to be improved. The intersection vehicles shuttle, the pedestrian is bustling, car dealership traffic lane, person sidewalk, methodical. Then depending on what to realizes this orderly order? the traffic lights on the automatic control system. There are great number kinds of modes to control the traffic lights. The system uses a series of MCS-51 as the center AT89C51 single-chip device designed to control the traffic lights, so as to realize the function of setting red, green light time by 8051 chip’s P3 port according to the actual traffic flows, lighting the red-light and green-light by turn and lighting the yellow-light to warm while 5 seconds left(outputting the traffic light signal by P1,outpuing the time by P0 and showing the time on double-digits nixie tube). Short of the design cycle, , easy maintenance, the expansion of powerful is this system. Key words:SCM; MCU; traffic light 目录 中英文摘要························1 设计要求·························2设计目的·························3 方案比较、设计与论证···················

交通灯控制系统毕业论文

基于单片机的交通灯设计

摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 关键词: 单片机交通灯闯红灯检测车流量 1 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少

交通灯毕业设计

江西工业工程职业技术学院 毕业论文题目交通灯毕业设计 学生姓名 指导教师 院系机电工程系 专业矿山机电 级别2013届 学号 江西工业工程职业技术学院

前言 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进 行统一调度管理,可缩短车辆通行等候时间,实现科学化管理.

前言------------------------------------------------------------------------2 第一章PLC的特点及应用--------------------------------------------------------4 1.1 概述 (4) 1.2 PLC的特点 (4) 1.3 PLC的应用 (4) 第二章PLC的结构及原理--------------------------------------------------------7 2.1 PLC的分类 (7) 2.2 PLC的结构 (7) 2.3 PLC的工作原理 (7) 2.4 PLC汇编语言 (8) 2.5 PLC的基本指令 (9) 2.6 PLC交通灯毕业设计编程器件 (13) 第三章梯形图的设计与编程方法------------------------------------------------14 3.1 控制要求 (14) 3.2 控制时序 (14) 3.3 PLC交通灯毕业设计硬件及外围元器件 (15) 第四章程序设-------------------------------------------------------------17 4.1 PLC交通灯毕业设计梯形图 (17) 4.2 PLC交通灯毕业设计指令图 (19) 4.3 软件设计 (23) 第五PLC交通灯毕业论文设计总结---------------------------------------------- 24 谢辞-----------------------------------------------------------------------25 参考文献---------------------------------------------------------------------26

基于PLC的智能交通控制系统毕业论文

基于PLC的智能交通控制系统设计 摘要 随着社会经济的发展,城市交通问题越来越引起人们的关注,我国许多大中城市的交通压力都非常大。所以,改善与提高现有的交通系统的工作效率,加强交通路口的信号灯控制和监控是非常重要的。 解决好交通信号灯控制问题将是保障交通有序、安全、快速运行的重要环节。但现有的交通信号灯控制系统都是单一的固定时序控制, 不能够根据实际交通状况进行调节控制。 本文主要使用PLC和地感线圈来实现智能交通控制。在十字路口相应的位置埋设地感线圈,对此路段上的车流量进行统计,并根据车流量的变化,改变绿灯的控制时间,可以实时地对绿灯资源进行合理调配,提高十字路口的通行能力,缓解交通拥挤,达到最优控制。文中详细介绍了系统的硬件设计和软件设计,最后用iFIX工程组态软件进行监控,达到了比较满意的可视化效果,并实现了模拟真实环境的目的。 关键词智能交通地感线圈车流量延时控制

THE DESIGN OF INTELLIGENT TRAFFIC CONTROL SYSTEM BASED ON PLC ABSTRACT Along with the development of the society economy, the city transportation problem causes our concern more and more, and traffic pressure in many large and medium-sized cities in China is very great. Therefore, to improve and enhance efficiency of the existing transport system, strengthen the control of traffic lights and monitor the situation is very important. The traffic light control is important for urban traffic safety and high efficiency. But all the traffic light control are working in standing sequence, and are unable to make adjustment with different traffic flow. In the design of the paper, one method of the intelligent traffic control is used which combines the PLC and the induction coil. Induction coils are preburied at the correspond- ing crossroads to make statistics of the vehicle flow, according to which the control time of the green lights is changed, then, the resource of the green light is reasonably distributed to improve the traffic capacity at the crossroads. PLC could self regulate the time of traffic light, and reach the best of control. The hardware and software of the system are introduced in the paper in detail. Finally, using iFIX software for monitoring, the visualization effect and the simulation of the real environment is satisfactory. KEY WORDS intelligent traffic induction coil vehicle flowrate time-delay control

51单片机红绿灯课程设计报告书

1 电源提供方案 为使模块稳定工作,须有可靠电源。因此考虑了两种电源方案:方案一:采用独立的稳压电源。此方案的优点是稳定可靠,且有各种成熟电路可供选用;缺点是各模块都采用独立电源,会使系统复杂,且可能影响电路电平。 方案二:采用单片机控制模块提供电源。改方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。综上所述,选择方案二。 2 显示界面方案 该系统要求完成倒计时功能。基于上述原因,我考虑了二种方案: 方案一:采用数码管显示。这种方案只显示有限的符号和数码字符,简单,方便。方案二:采用点阵式LED 显示。这种方案虽然功能强大,并可方便的显示各种英文字符,汉字,图形等,但实现复杂,成本较高。 综上所述,选择方案一。 3 输入方案: 设计要求系统能调节灯亮时间,并可处理紧急情况,我研究了两种方案:方案一:采用8155扩展I/O 口及键盘,显示等。 该方案的优点是:使用灵活可编程,并且有RAM,及计数器。若用该方案,可提供较多I/O 口,但操作起来稍显复杂。 方案二:直接在I/O口线上接上按键开关。 由于该系统对于交通灯及数码管的控制,只用单片机本身的I/O 口就可实现,且本身的计数器及RAM已经够用。

综上所述,选择方案二。 3.1单片机交通控制系统的通行方案设计 设在十字路口,分为东西向和南北向,在任一时刻只有一个方向通行,另一方向禁行,持续一定时间,经过短暂的过渡时间,将通行禁行方向对换。其具体状态如下图所示。说明:黑色表示亮,白色表示灭。交通状态从状态1开始变换,直至状态6然后循环至状态1,周而复始,即如图2.1所示: 图1 交通状态 本系统采用MSC-51系列单片机AT89C51作为中心器件来设计交通灯控制器。实现以下功能:

交通灯设计 毕业论文

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

基于51单片机控制交通灯的毕业设计

安徽工商职业学院ANHUI BUSINESS VOCATIONAL COLLEGE 毕业设计(论文) 基于单片机控制的交通灯毕业设计 系别:电子信息系 专业班级:10应用电子技术2班 学号: 103596 学生姓名:吴坤 指导老师:聂凯 二零一二年十月

基于单片机控制的交通灯毕业设计 摘要 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入:控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键字:AT89C51 LED显示交通灯

The Traffic Light Based On The Single-chip Control Abstract The intersections vehicle wears shuttle, pedestrian Xi Rang, garage driveway, person's sidewalk, orderly. So depend what to carry out this well arranged order? What to depend is a traffic sign light of automatic conductor system. The control method of the traffic sign light is a lot of. This design is mainly divided into five greatest molds a piece the electric circuit, clock of the importation control a control outside procedure inside the electric circuit, slice to cut over a control and shows electric circuit. Take single slice the machine IntelAT89 C51 of the serieses MSC-51s as a center spare part to design transportation light controller, carried out the AT89 C51's P's 0 people's constitution of the chips red, the function in bright time of green light, Huang2 Deng Ran2;For the sake of system stability the credibility adopted a 74 LS14 airtight trigger eliminate of machine chip to tremble electric circuit especially, avoided system because of importation the signal tremble movable property to living a mistake operation; The P 2 people who shows that time directly passes the AT89 C51 output, is driven LED figures a tube by the CD4511 to show red-light Ran bright time. Key word: The AT89 C51 LED show transportation light

基于单片机的交通灯显示系统的设计与研究课程设计

课程设计 2016年03月20日 毕业设计(论文)原创性声明和使用授权说明

原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

单片机为核心的智能交通灯控制系统设计毕业论文

单片机为核心的智能交通灯控制系统设计毕业论文 目录 1 概述 (1) 1.1城市交通的作用 (1) 1.2国外交通系统发展现状 (2) 1.3我国交通中存在的主要问题 (2) 1.4城市交通解决的主要途径 (3) 1.5论文研究的主要容 (4) 1.6系统的主要特点 (4) 2 交通信号控制系统的研究 (5) 2.1城市交通控制系统概述 (5) 2.2交通规则介绍 (5) 2.3常用交通标志简介 (6) 2.4交通信号控制硬件设备简介 (7) 2.5交通信号控制系统信息传输系统简介 (7) 2.6信号控制方式的分类 (7) 2.7交通信号控制原理 (8) 3 交通控制方案设计 (9) 3.1系统设计目的 (9) 3.2技术框架 (9) 3.3十字路口交通信号相位设置 (10) 3.4不同相位配时方案 (10) 3.5交通信号灯的控制方法 (11) 3.5.1 定时控制 (11) 3.5.2 感应控制 (11) 3.6系统控制方案 (11) 3.6.1感应—定时信号控制方案 (11) 3.7总体方案设计 (12) 3.8十字路口交通信号亮灯的顺序设定 (15)

4 控制系统硬件设计 (16) 4.1硬件系统设计的总体要求 (16) 4.2系统的组成 (16) 4.3该系统主要硬件 (16) 4.3.1 主要芯片的性能介绍 (16) 4.3.2 整个系统的组成框图 (21) 4.3.3 交通灯CPU主控和存储部分系统原理框图 (22) 4.4驱动电路的设计 (22) 4.5键盘及显示电路 (23) 4.6时钟电路的设计 (25) 4.7车辆检测 (27) 4.10串行通信接口的设计 (32) 5 软件设计 (34) 5.1交通控制设计主要满足以下功能 (34) 5.2系统模块组成 (34) 5.3主要程序流程框图 (34) 致谢 (43) 参考文献 (44) 附录一 (45) 附录二 (59)

最新毕业设计--单片机模拟交通灯设计

毕业设计 题目模拟交通灯 系别 专业 班级 姓名 学号 指导教师 日期

设计任务书 设计题目: 模拟交通灯 设计要求: 1.用单片机设计一个模拟交通灯,在十字路口的两个方向上各设一组红绿黄橙灯(橙色灯代表左转信号),显示顺序为:其中一个方向是绿灯、黄灯、红灯、橙灯,另一个方向是橙灯、红灯、黄灯、绿灯。 2.设置一组数码管,以倒计时的方式显示允许通过或禁止通行的时间。其中左转灯、绿灯、黄灯、红灯的持续时间分别是15S、30S、3S、48S。 3.当各条路上任意一条出现特殊情况,例如消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计进度要求: 第一周:确定题目;查找和题目有关的资料; 第二周:查找资料,阅读资料; 第三周:结合实物写总体设计方案; 第四周:硬件选片和硬件设计; 第五周:软件设计; 第六周:上机调试; 第七周:写毕业设计; 第八周:毕业答辩。 指导教师(签名):

摘要 随着社会经济的快速发展,人们的生活水平提高,拥有车辆的家庭越来越多,但随之而来的交通问题也成为政府关注的问题。 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故有明显效果。本人选择制作交通灯作为课题加以研究。分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,利用单片机89S51为核心部件,外加定时器、复位电路、晶振电路、显示电路等,设计一个比较符合交通规则的模拟交通灯,包括人行道,车行道、南北东西左转、应急车道,以及基本的交通灯的功能,同时给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 关键词:交通灯,单片机,定时器

基于plc的智能交通灯控制系统设计毕业论文

基于plc的智能交通灯控制系统设计毕业论文

二○一三届学生毕业论文(设计)存档编号:__________ 江汉大学 毕业论文(设计) 论文题目智能交通灯设计 (英文) the design of intelligent traffic lights 学院: 专业: 姓名: 学号: 指导教师: 2013年05月26日

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM 将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 关键字:交通灯 PLC 通讯科学管理渐进式

Abstract The programmable preface in PLC controller is a kind of new industry controls the device,it regard microprocessor as the foundation, synthesizing the calculator technique, automatic control technique to develop with the communication technique .It has the construction simple, the plait distance is convenient, high etc. in dependable advantage, already extensive used for the industry process with the automatic control of the position inside.According to the covariance, the programmable controller is a kind of equipments that industry automate to equip the inside the application at most.The expert thinks, the programmable controller will become the main means that aftertime industry control with the one of the important foundation equipmentses, the PLC, robot, CAD/ CAM will become three major of pillar that industry produce.Because the PLC has to the strong characteristic in adaptability in environment in usage, its inner part settles at the same time the machine resources is abundant very, can to current widespread usage of" enter the type gradually" the signal beacon proceeds the precision controls, special the oscular control in a many branch roads can realizes expediently.So that is applied the PLC more and more now in transportation light system inside.At the same time, PLC still have the communication internet function, constitute the same of the signal beacon on the road a the bureau area net proceeds to unify to adjust a management, can shorten the vehicle go through waiting time, realizing scientific management. Keywords: The transportation light, PLC , Communication,scientific management,enter the type gradually

相关主题
文本预览
相关文档 最新文档