当前位置:文档之家› 数电交通信号灯控制器设计

数电交通信号灯控制器设计

交通信号灯控制器设计

1 方案设计意义及要求

1.1 方案设计意义

现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯人们的安全出行有了很大的保障。

自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。

1.2 方案设计要求

运用模拟电子技术和数字电子技术以及电路原理的相关知识设计出交通信号灯的控制电路,完成下列的要求:

1. 假设一路口为东西南北走向。初始状态0为东西红灯,南北红灯,

2. 然后转状态1东西绿灯通车,南北红灯。

3. 过25s转状态2,东西绿灯灭,黄灯闪烁5次,南北仍红灯。

4. 再转状态3,南北绿灯通车,东西红灯亮。

5. 过20s转状态4,南北绿灯灭,闪5次黄灯,东西仍是红灯。

6.最后循环至状态1,如此不断周期循环下去。

2 方案设计内容

2.1 方案设计思路

方案设计要求南北方向和东西方向的交通信号灯的周期不一样,南北方向是红灯30秒、绿灯25秒、黄灯闪烁3秒。东西方向是红灯25秒、绿灯20秒、黄灯闪烁5秒。但是南北方向和东西方向是相对称的,其交通信号灯的状态转换图如下:

图2-1 交通指示灯状态转换图

状态2

南北红灯亮 5秒 东西黄灯闪 5秒

状态1

南北红灯亮25秒 东西绿灯亮20秒

状态4

南北黄灯闪 5秒 东西绿灯亮 5秒

状态3

南北绿灯亮20秒 东西绿灯亮20秒

2.2 方案设计

2.2.1 方案一(个人方案)设计原理

交通信号灯控制原理图如下图所示:

图2-2 交通信号灯控制原理图

根据设计方案的要求,我们可以依据南北方向和东西方向交通信号灯的亮灭

情况画出以下的时序状态图:

图2-3 交通信号灯状态时序图

555定 时 器

计数器实现五进制

移位寄存器

南北方向信号灯

东西方向信号灯

计数器实现 五十五进制

首先用NE555定时器产生1Hz 脉冲作为时钟脉冲信号源,用74LS161构成五进制计数器,产生五进制数,并且每五秒自动清零,同时利用清零信号给74LS164移位寄存器一个脉冲信号,使移位寄存器每5秒发生一次移位,然后通过74LS164移位寄存器分别实现5秒,20秒,25秒和30秒的循环控制,30秒后禁止信号输入移位寄存器,再过25秒信号又可以重新输入移位寄存器,这样就可以分别使东西方向和南北方向对应的红灯和绿灯亮和灭,最后用黄灯信号和脉冲信号源进行与逻辑运算,使得黄灯能够每秒闪烁一次,闪烁时间为5秒。

由于南北方向和东西方向的红灯和绿灯的亮灭的时间不相等,南北方向红灯亮30秒,绿灯亮25秒,黄灯闪烁5秒;东西方向红灯亮25秒,绿灯亮20秒,黄灯闪烁5秒,所以南北方向周期为30秒,东西方向周期为25秒,总周期为55秒。因此利用一片74LS161和构成五进制计数器的74LS161级联起来构成一个55进制的计数器,再利用其清零端接到74LS164移位寄存器的清零端,实现移位寄存器的每55秒清一次零,从而达到对南北方向和东西方向周期不相等的控制,达到方案设计的要求。总电路图见附录

2.2.2 方案二(小组方案)设计原理

图2-4 方案二电路原理框图

人机交互界面

单片机微处理

人行道 信号灯

紧急情况 控制

主干道 信号灯

通过单片机写入符合题意的程序,之后小组的成员还一起讨论了,还增加了红灯时长可编辑、紧急情况的控制和人行道红路灯等附加功能,再接上一定的外围电路,通过程序控制I/O口的输出状态控制所接外围电路的状态来实现交通信号灯控制。总电路图见附录

2.3 方案比较

方案一是利用555定时器来产生1Hz的脉冲,利用数据选择器的选择功能和移位寄存器的移位功能来实现对南北方向和东西方向不同周期的信号灯的控制,电路的设计思维容易理解,但是所用到的元器件较多较复杂,电路的接线相对要复杂。方案二是利用单片机处理器,通过软件编程来实现设计所要求的功能,简单明了,便于控制,并且功能上的扩展性也很强,但是相对于方案一需要更高的要求,要对汇编语言及单片机的工作原理有较深的理解。

3 单元电路原理设计

3.1 秒脉冲信号发生器设计

时钟信号产生电路主要由NE555定时器、电容和电阻组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生一定的“0”、“1 ”信号,电路图如下图所示:

图3-1 秒脉冲电路原理图

所以时间周期就是:T=)2(7.021R R +C=1s

经计算可得R1=46k R2=50k 产生的秒脉冲通过3端口输出 NE555管脚图如图:

图3-2 NE555管脚图

3.2 五进制计数器设计

要实现五进制计数,用74LS161四位二进制同步加法计数器,该计数器能同步并行预置数据,具有清零置数,计数和保持功能,具有进位输出端,可以串接计数器使用。它的管脚排列如图2-3所示:

图3-4 74LS161管脚图

C

R V V C R t CC

CC

W 2227.03

10320ln =--=C R R V V V V C R R t CC CC

CC CC W )(7.03

231ln

)(21211+=--+=

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

下图为74LS161的功能表:

表3-1 74LS161功能表

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

所以可以利用一片74LS161实现五进制加计数,将CR=LD=EP=ET=“1”,D3,D2,D1,D0接地,二进制的五为(0101),故将Q2,Q0连到同一与非门后接CR 清零端,每五个脉冲清一次零,实现五进制加计数器,同时利用清零信号,每五秒给74LS164移位寄存器一个脉冲信号。

五进制电路连接图如下图所示:

图3-5 五进制计数器电路图

3.3 五十五进制计数器设计

因为南北方向和东西方向交通信号灯的周期不一样,南北方向是30秒,东西方向是25秒,如果要用一片移位寄存器实现的话,就必须对移位寄存器定时清零,不然就会出现5秒的空白时间。

但是总的周期是不变的,为55秒,所以利用两片74LS161构成五十五进制计数器,前一片接成五进制,后一片接成十一进制的,这样就使前一片的74LS161参与了两个电路功能,达到使用减少的元件实现较多功能的目的。同时利用第二片74LS161的清零信号接到后面移位寄存器的清零信号,从而实现每55秒清一次零,这样就可以解决南北方向和东西方向周期不相等的问题了。

五十五进制电路连接图如图所示:

图3-6 五十五进制计数器电路图

3.4 移位寄存器

74LS164为8位移位寄存器,当清除端(CLEAR )为低电平时,输出端(QA -QH )均为低电平。串行数据输入端(A ,B )可控制数据。当A 、B 任意一个为低电平,则禁止新数据输入,在时钟端(CLOCK )脉冲上升沿作用下Q0 为低电平。当A 、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态。 引出端符号

CLOCK 时钟输入端

CLEAR 同步清除输入端(低电平有效) A ,B 串行数据输入端 Q A

-Q

H

输出端

74LS164封装图如下图所示:

图3-7 74LS164封装图74LS164逻辑图如下图所示:

图3-8 74LS164逻辑图74LS164真值表如下图所示:

表3-2 74LS164真值表74LS164时序图如下图所示:

图3-9 74LS164时序图

采用74LS164八位移位寄存器可以实现对南北方向红灯30秒、绿灯25秒、黄灯5秒和东西方向红灯25秒、绿灯20秒、黄灯5秒的控制。利用接成五进制的74LS161的清零信号作为74LS164的触发信号,使移位寄存器每5秒移一次位,并且利用11脚来控制移位寄存器的串行信号的输入,即每六个脉冲到来后就禁止信号的输入,再利用接成五十五进制的74LS161的清零信号作为74LS164的清零信号,每55秒对移位寄存器清一次零,从而实现总周期为55秒的功能。

移位寄存器电路连接图如下图所示:

图3-10 移位寄存器电路连接图

3.5 信号灯控制

3.5.1 红灯信号控制

南北方向的红灯亮灯时间为30秒,所以用Qf取反后与其相连;东西方向的红灯亮灯时间为25秒,所以将Qe与Qd相与后与其相连。

3.5.2 绿灯信号控制

南北方向的绿灯亮灯时间为25秒,所以用Qd和Qf相与后与其相连;东西方向的绿灯亮灯时间为20秒,所以将Qe和Qf分别取反后再相与获得。

3.5.3 黄灯信号控制

黄灯信号的获得比绿灯、红灯要稍复杂,南北方向的黄灯信号通过Qd和Qe相与获得,要实现其每秒闪烁一次,用其再和1Hz时钟脉冲相与即可。东西方向的黄灯信号则通过Qe与Qf取非之后相与,再和1Hz时钟脉冲相与获得。

具体信号灯控制电路连接图如图所示:

图3-11 交通灯信号控制电路

4调试及检测

4.1 制作

按照总的电路图,规划电路总布局,以使电路连接简单、明了。首先一个模块一个模块连接,连接好一个模块,就检测一个,包括芯片,电路板,及导线是否接正确且接稳等,以防整体检测时不必要的麻烦。

4.2 调试过程

整体连接完毕,进行调试,看是否和仿真结果相同。如有出入,仔细检测电路,查出问题所在。这样不断调试,直到达到预期结果。

首先对时钟信号脉冲源进行调试,看是否产生1Hz时钟信号,如果不能产生脉冲,检测555定时器的引脚是否接对,电阻和电容是否接正确,阻值和电容值是否正确,如果不是这些问题,就通过换芯片,看是否是芯片的问题,如果芯片也没问题,就再检查设计原理是不是出了问题。

再对五进制计数器和五十五进制计数器进行测试,看是否为五进制计数和五十五进制计数,然后测试移位寄存器的工作是否正常,最后检验信号灯是否达到预期目标,若是没有按预期的工作,检查逻辑门是否正常工作,导线是否连接到位。

进过不断调试后,交通灯控制电路达到了预期的全部功能。

4.3 调试过程中发现的问题及解决

调试过程中难免发现一些问题:

(1)NE555定时器没有产生1Hz的秒脉冲,而始终输出为高电平,经过仔细检查后,发现原来是NE555的2脚和6脚没有接在一起导致无法正

常工作。

(2)74LS161五十五进制计数器不能正常工作,后来经过检查发现是没有将清零信号返回到MR端所致

(3)对于小组方案,在设计过程中,也出现了一些问题使得单片机异常工作,但都是常见的小问题,如:代码中双引号的使用并不是在英语书

写状态下,输入字母出错等,在调试时出现异常,不过这些都是经常

性错误,经过调试修改都一一解决,程序顺利完成,并实现了其功能。

调试过程中虽然发现了很多问题,不过经过检查一一解决。

5 仿真操作步骤及使用说明

5.1 仿真操作步骤

5.1.1 秒脉冲源的仿真

按原理图在protues中画好1Hz脉冲源的电路图,在端口3(即脉冲输出端口)接上一个示波器,开始仿真,调节示波器观察波形及周期是否符合设计要求,不符合继续调试直到符合,符合后进行下一步仿真。

5.1.2五进制计数器的仿真

按原理图在protues中用74LS161接好五进制的电路图,接库文件中的1Hz 脉冲源开始仿真,观察74LS161的输出端口高低电平变化是否符合每秒加1,每5秒钟循环一次,不符合进一步调试直到符合,符合后进行下一步仿真。

5.1.3五十五进制计数器的仿真

按原理图在protues中用74LS161接好五十五进制的电路图,接库文件中的1Hz脉冲源开始仿真,观察第二片74LS161的输出端口高低电平变化是否符合每5秒加1,每55秒钟循环一次,不符合进一步调试直到符合,符合后进行下一步仿真。

5.1.4移位寄存器的仿真

按原理图在protues中用74LS164接好电路图,用第一片74LS161的清零信号作为移位寄存器的脉冲输入信号,用第二片74LS161的清零信号作为移位寄存器的清零信号,再接入1Hz脉冲源开始仿真,接入示波器观察波形,观察是否是每5秒移位一次、每55秒清一次零。若不符合则进一步调试直到符合,符合后再进行下一步仿真。

5.1.5设计电路整体仿真

在原有电路的基础上按照电路原理图连接上南北方向和东西方向的交通信号灯,之后开始仿真,看是否符合设计方案的要求,若不符合则进一步调试直到成功为止。

5.2使用说明

打开仿真程序后,点击仿真开始按钮开始仿真,观察南北方向和东西方向的交通信号灯的亮灭情况是否符合设计方案的要求。

结束语

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅。总的说来有以下几点心得体会:

1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和芯片上的选择,并实现的电路的仿真。这个方案总共使用了74LS161,74LS164,74LS04,74LS08,74LS11,NE555定时器。

2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。

3、我认为做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

4、平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。

5、在实现部分电路功能时,要做到模块化操作,做好一个模块再进行下一个模

块的连接,这样能提高很高的效率,减少很多不必要的麻烦。

6、在实际的连接中,还要注意一些连接顺序,要先连接振荡电路,测试无误后方可连接其他。所有的二极管都要接限流电阻。

7、所有器件的多余输入不能悬空,做合适的处理。有些不高不低的电平信号,会造成逻辑上的错误,导致无法检测出电路的问题所在。

8、实际操作中有很多的问题值得我们注意,在解决的同时又会掌握不少实际应用的能力。设计中还要有一些科学的习惯,力求设计的精简,和分析处理问题的准确性。

参考文献

[1]《电子技术基础---数字部分》康华光主编高等教育出版社

[2]《电子技术基础---模拟部分》康华光主编高等教育出版社

[3]《通用电子元器件的选用与检测》王昊李昕电子工业出版社

[4]《数字电路设计完全手册》邓勇国防工业出版社

[5]《常用电子元器件及典型芯片应用技术》刘法治机械工业出版社

附录

1.元件名细表:

附表1 元件名细表

元件序号型号主要参数数量备注

1 NE555 1 脉冲发生器

2 74LS164 1 移位寄存器

3 74LS08

4 二输入与门

4 74LS04 4 六非门

5 74LS11 3 三输入与门

6 74LS161 2 计数器

7 LED 12 红黄绿各四个

8 C1 10uF 1

9 C2 0.01uF 1

10 R1 46k 1

11 R2 50k 1

交通信号灯设计

简易交通信号灯控制器 1.主要技术指标与要求 1.定周控制:主干道绿灯45s,支干道绿灯25s; 2.每次由绿灯变为红灯时,应有5s黄灯作为过渡; 3.分别用绿、黄、红色发光二极管表示信号灯; 2.摘要 道路交通和我们息息相关,是我们日常生活的一部分。为了确保道路交通顺畅与安全,交通信号控制系统是用来自动控制十字路口红黄绿三色的交通灯。 简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由两块74LS290组成一个80进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 3.总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、10、15、16翻转的目的。

方案二:本方案主要由主控制电路和秒脉冲发生器组成,其中主控制电路包括:主控制器、清零装置、驱动装置、信号灯装置及一些逻辑门。主控制器中采用两块74LS290二-五-十进制来实现八十进制计数器。秒脉冲发生器由555秒脉冲发生器负责提供脉冲信号。接通电源瞬间,清零装置将主控制器清零,紧接着,主干道绿灯和支干道红灯打开,其余主、支道灯关闭。秒脉冲传送到控制器,主控制电路在45s 到,50s到,75s到,80s到分别产生翻转信号,从而改变主、支道绿、黄、红灯的开闭持续时间,继而实现交通信号灯控制。 方案三:十字路口车辆通行情况只可能有4种情况,可以依次用S0=00,S1=01,S2=10,S3=11,L来记忆交通灯的工作情况。分别对这四种情况进行编码,得到转换图,显然这是一个四进制计数器,可以采用J-K触发器74LS107来构成,控制电路。 经过比较,我选择方案二,因为方案一中,主控制器用的是十六进制74LS161计数器,而交通灯信号控制周期T=80s,相比而言方案二更容易得到。而方案三中器件我不太熟悉,所以最终我选方案二。 4.设计方案的原理框图、总体电路图及说明 原理框图:

彩灯控制器·设计

目录 一.系统设计概述 (1) 1.实践要求: (1) 2.原理分析与电路方框图: (1) 二.原件选择与电路设计 (2) 1.脉冲发生电路 (2) 2.计数控制电路 (4) 3.译码驱动电路 (5) 4.储存单元 (6) 5.计数器显示电路 (7) 6.显示矩阵 (8) 三.电路的安装与测试 (8) 1.电路连接: (8) 2.电路调试: (9) 四.总结 (10) 附表1:原件清单 (11) 附表2:图片程序源码 (12) 附表3:总电路图 (14) 附表4:电路实物 (15) 参考文献 (16)

一.系统设计概述 1.实践要求: 通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。 1)设计脉冲产生电路、图形控制电路和存储电路; 2)用发光二极管点阵(8×8)作为显示电路,显示内容的动面感要强。 3)图形能连续循环,图形大于64幅,图形显示间隔在20ms~2s范围内连续可调; 4)能手动和加压清零功能,有自动选画功能; 5)完成电路全部设计后,通过实验箱验证设计课题的正确性 2.原理分析与电路方框图: 通过对实验要求的解读,可知实践需要通过对EEPROM编程来控制一个8X8LED的矩阵输出存在EEPROM中的各种图形或者文字。因此就需要分别用8个地址线来分别控制点阵的行与列。本次实践中,我们使用74LS138及EEPROM来实现对行列的控制。 由于人类视觉暂留实践为20ms,因此将点阵的列设计成高频的刷新电路,行设计成低频的换面切换电路,这样就能够显示出清晰,可变的图形。显然,我们可以用译码器来控制列,刷新点阵。用EEPROM来控制行,来输出图形。 点阵中的LED灯是低电压导通,因此应该把阴极定位列,阳极定为行。 频率控制电路可以利用基于555振荡器的多谐振荡器加计数器来实现。高频频率应为400~500Hz,根据要求所知,图形间隔在20ms到2s之间,因此低频频率应为5Hz~50Hz。 在列方面,我们使用74LS161的二进制计数器来实现对译码器的控制即可; 在行方面,由于要求显示出64幅的画面,实际我们设计了80幅画面,因此我们使用一个16*5进制的计数器,用两片74LS161同步CP端且用置数法来实现,并用显示电路来显示低位、高位计数器的计数情况,地位控制每幅画面,高位控制每组画面。并用通过对高位芯片置数端,清零端的控制来实现要求中的选

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

交通信号灯的设计方法

交通信号灯的设计方法 设计任务与要求 设计一个十字路口的交通信号灯操纵电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 要求黄灯先亮5秒,才能变换行车道。 黄灯亮时,要求每秒钟闪亮一次。 实验设备 数字双踪示波器 74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 实验原理与实验电路 实验原理简介 实验电路要紧由操纵器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和操纵器的标准时钟信号源,译码器输出组信号灯的操纵信号,经驱动电路后驱动信号灯工作,操纵器是系统的要紧部分,由它操纵定时器和译码器的工作。 下面简要介绍个操纵信号的意义: TL:表示甲车道或乙车道绿灯亮的时刻间隔是25秒,即两车道正常通行的时刻间隔。定时器时刻到,TL=1,否则,TL=0。 TY:表示黄灯亮的时刻间隔是5秒,定时时刻到,TY=1.,否则,TY =0。 ST:表示定时器到了规定的时刻后,由操纵器发出状态转换信号。由他操纵定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成:

第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆承诺通行,乙车道的车辆禁止通行。绿灯亮足够时刻间隔TL时,操纵器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆连续通行,乙车道禁止通行。黄灯亮足够的时刻间隔TY时,操纵器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆能够通过。绿灯亮足够规定时刻间隔时,操纵器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆连续通行。黄灯亮足规定的时刻间隔TY时,操纵器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由操纵器进行操纵的。设操纵器的四种状态编码为00、01、11、10,分不用S0、S1、S2、S3表示,则操纵器的工作状态即功能表如下所示:

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

交通信号灯设计

太阳能交通信号灯系统设计 2011-12-30 21:46:59 来源:21IC 关键字:太阳能交通信号灯系统设计 传统的交通灯有以下几个缺点:反光碗的存在导致了假显示效果的出现,假显示效果会引起严重的交通事故;寿命短、维护费用高;耗能高。针对传统交通灯的缺点,采用LED发光源设计的交通灯,具有可视性强、功耗低、节能、使用寿命长、安全、工作稳定可靠等特点,所以这种交通灯在国内外得到了越来越广泛的使用。 传统交通信号灯一般采用市电直接供电,安装时要挖沟敷设电缆,给交通指挥的安装增加了成本。太阳能供电系统无需架线,资源丰富,太阳能电池转换效率逐渐提高,价格逐渐降低,有利于降低成本,所以得到了越来越广泛的应用。 采用单片机控制,提高了系统的可靠性,方便安装,对保证行车安全有着重要的意义。 1 工作原理 太阳能LED交通信号灯由光伏极板、充放电控制器、蓄电池、LED交通信号灯系统构成。系统框图如图1所示。 图1 系统框图 其中,光伏极板是用来将太阳能转换成电能,为系统供电。 充放电控制器是将太阳能产生的电存储到蓄电池中,同时将蓄电池中的电能供给LED交通信号灯系统,并对蓄电池的过流、过充等起到保护作用。 LED交通信号灯系统是由中央控制器、RS 485通信模块、LED信号灯模块、信号灯模块控制系统等组成。 2 LED交通信号灯模块 LED连接电路有三种连接方式:全串联方式、全并联方式、串并混联方式。三种方式的优缺点比较如下: (1)全串联方式,如图2(a)所示。优点:电路简单,流经所有LED的电流相同。通过使用恒流源,可使LED亮度一致。缺点:如果有一颗损坏,所有的LED将不能工作,需要变压器产生高电压和制作恒流源,实现成本高。 (2)全并联方式,如图2(b)所示。优点:电路简单,一颗LED损坏,不会影响其他LED。缺点:由于LED发光源本身存在差异性,电压有浮动,导致并联的LED显色不均匀。另外,电流太大,增加成本,给电源设计也带来困难,需要性能比较高,输出电流非常大的稳压源。 (3)串并混联方式,如图2(c)所示。蓄电池可以提供12 V直流电压,可以驱动4~6颗LED,将LED分成若干串,每串串联,然后将几串并联,这样每一串的电压相同,每一串内电流相同,电源输出的抖动被每一串内LED平分,这样可以稳定单个LED的电压,同时单个LED的损坏只能影响到同一串联的LED,其他串LED仍然正常工作。本文采用串并混联方式。 图2 LED电路连接方式 3 LED交通信号灯控制器模块 3.1 控制结构 控制部分是LED交通信号灯系统的核心部分,由中央控制器、RS 485串行通信总线、从控制器三部分组成。LED交通信号系统的主从控制器都采用单片机A T89S51,中央控制器起到控制和协调作用,四个路口由从控制器接收中央控制器的命令,然后按照命令确定各自路*通信号灯的状态。主从控制器之间由串口来实现信号的传输。控制器结构框图如图3所示。

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯的设计方法

交通信号灯控制电路 一、设计任务与要求 1.设计一个十字路口的交通信号灯控制电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 2.要求黄灯先亮5秒,才能变换行车道。 3.黄灯亮时,要求每秒钟闪亮一次。 二、实验设备 1.数字双踪示波器 2.74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 三、实验原理与实验电路 1.实验原理简介 实验电路主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 下面简要介绍个控制信号的意义: TL:表示甲车道或乙车道绿灯亮的时间间隔是25秒,即两车道正常通行的时间间隔。 定时器时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔是5秒,定时时间到,TY=1.,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由他控制定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成: 第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆允许通行,乙车道的车辆禁止通行。绿灯亮足够时间间隔TL时,控制器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足够的时间间隔TY时,控制器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆可以通过。绿灯亮足够规定时间间隔时,控制器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由控制器进行控制的。设控制器的四种状态编码为00、

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

电工与电子技术课程设计_简易交通信号灯控制器(最新)剖析

长安大学 电子技术课程设计设计题目:简易交通信号灯控制器 专业汽车运用工程工程 班级2013220501 姓名王雨晴 指导教师 日期2015-6

目录 一、课题名称与技术要求 二、摘要 三、总体设计方案论证及选择 四、设计方案的原理框图、总体电路图、接线图及说明 五、单元电路设计、主要元器件选择与电路参数计算 六、收获与体会、存在的问题 七、参考文献 八、附件(元件材料清单)

一、课题名称与技术要求 1、课题名称:简易交通信号灯控制器 2、主要技术指标和要求: (1)定周控制:主干道绿灯45秒,支干道绿灯25秒; (2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色发光二级管表示信号灯; 二、摘要 交通运输是国家经济发展的动力,日常出行也是我们生活中不可或缺的一部分。确保道路交通顺畅与安全的重要性不言而喻。在确保十字路口的交通秩序工作中,信号灯扮演了极其重要的角色。交通信号控制系统是用来自动控制十字路口红黄绿三色的电子系统。交通灯简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由一块74LS161组成一个16进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 三、总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

课程设计交通信号灯汇总

课程设计说明书(2012 /2013 学年第 2 学期) 课程名称: 题目:交通信号灯 专业班级:电气一班 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2013年7 月3 日 1、课程设计目的 (3)

2、课程设计软件部分 (3) 2.1设计内容及要求 (3) 2.1.1课程设计内容 (3) 2.1.2课程设计要求 (4) 2.2系统分析 (4) 2.3系统设计 (4) 3、课程设计硬件部分 (5) 3.1方案设计 (5) 3.2单元电路设计 (5) 3.2.1秒脉冲发生器 (5) 3.2.2计数电路的设计 (6) 3.2.3控制电路的设计 (7) 3.2.4显示电路的设计 (7) 3.2.5数码管显示的设计 (8) 3.2.6设计总原理图 (9) 3.3系统调试 (10) 4、课程设计总结 (10) 5、参考文献 (11) 1、课程设计目的

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 2、课程设计软件部分 2.1设计内容及要求 2.1.1课程设计内容 A满足顺序工作流程:南北绿灯亮、东西红灯亮,占20S,南北黄灯亮、东西红灯亮,占4S,南北红灯亮、东西绿灯亮,占20S,南北红灯亮、东西黄灯亮,占4S。 B他们的工作方式,有些必须是并行进行的。南北绿,东西红。 南北黄,东西红。南北红,东西绿。南北红,东西黄。 C十字路口要有数字显示,作为事件提示,一边人们直接的把握事件。 D可以手动调整和自动控制,夜间为黄灯闪耀。 E满足两个方向的工作时序:既东西方向亮红灯事件应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 F倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机。 G信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求 2.1.2课程设计要求 A单电源5V供电 B南北、东西干道轮流通行由L E D显示,计时又数码管控制 C实现功能所用的器件的成本低,数量少为最佳

数电设计——交通信号灯控制器

数字逻辑系统课程设计报告 题目:交通信号灯控制器 专业、班级: 12级电子工程一班 学生: 学号: 指导教师: 分数 : 2014年 1 月 16 日

任务书

目录 一、设计任务目的 (4) 二、设计任务与要求 (4) 2.1 设计任务: (4) 2.2设计要求: (4) 三、设计方案选取与论证 (4) 3.1 方案选取理由 (4) 3.2 方案的可行性、优缺点 (5) 3.3 方案设计选取 (5) 四、电路设计 (8) 4.1单元模块电路设计 (9) 4.2 电路总图 (12) 五、制作及调试过程 (13) 5.1 装配和调试中的问题和解决办法 (13) 5.2 调试结果 (13) 5.3 元器件清单 (14) 六、结论 (14) 6.1 问题分析及解决 (14) 6.2 心得体会 (15) 七、致 (16) 八、参考文献 (16)

一、设计任务目的 1.掌握交通信号灯控制器电路的设计、组装。焊接与调试方法。 2.熟悉并掌握简单数字集成电路的设计和使用方法。 二、设计任务与要求 2.1 设计任务: 设计一个用于十字路口的交通灯控制器。能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。 2.2设计要求: ①东西方向绿灯亮,南北方向红灯亮,时间15s。 ②东西方向与南北方向黄灯亮,时间5s。 ③南北方向绿灯亮,东西方向红灯亮,时间l0s。 ④如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行,特殊情况过后能恢复正常。(附加功能) 三、设计方案选取与论证 3.1 方案选取理由 该交通灯控制器是分两条东西、南北的,两个方面的时间是不同的,东西方向通行15s,南北方向10s,这就要求我们要有两个计数器,根据我自己的经验,东西方向通行15s完,倒计时数字显示器会显示到0,然后切换到南北方向通行10s完之后, 倒计时数字显示器也会显示到0之后然后切换到南北方向,这样如此循环,用两片74LS192芯片来构成对应进制的计数器,设计一个16进制和一个11进制的计数器,可以由于是15和10之间循环切换,我们可以用利用JK触发器的翻转功能来实现两种进制计数器之间的切换;当然还有每个方向倒计时只有5s时,黄灯闪,一直到0为止,由于黄灯是当两个计数器倒计时到5时开始闪,我们就可以在这时发出一个脉冲然后一直保持到0,或者是接收0至5这段时间的脉冲都可

相关主题
文本预览
相关文档 最新文档