当前位置:文档之家› 电子秒表的设计与制作

电子秒表的设计与制作

电子秒表的设计与制作
电子秒表的设计与制作

学号:

课程设计

题目电子秒表的设计与制作

学院自动化学院

专业电气工程及其自动化

班级

姓名

指导教师

2012 年7 月 6 日

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:电子秒表的设计与制作

初始条件:

(1)计数精度可达1/100秒

(2)可显示时间99.99秒

(3)具有开关可启动,暂停,清零功能

选作:设计可改变计时时间(最大59.99秒)的电路

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1)设计任务及要求

(2)方案比较及认证

(3)系统框图,原理说明

(4)硬件原理,完整电路图,采用器件的功能说明

(5)调试记录及结果分析

(6)对成果的评价及改进方法

(7)总结(收获及体会)

(8)参考资料

(9)附录:器件表,芯片资料

时间安排:

6月25日~6月28日:明确课题,收集资料,方案确定

7月28日~7月2日:整体设计,硬件电路调试

7月2日~7月6日;报告撰写,交设计报告,答辩

指导教师签名: 2012年 7月日

年月日

前言

21世纪,电子科技技术获得了飞速的发展,在其推动下,现代电子产品几乎参透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

时间对人们来说总是那么的宝贵,工作的忙碌性和繁杂性容易使人忘记当前的一旦重要事情,一时的耽误可能酿成大祸。秒表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字计时器及扩大其应用,有着非常现实的意义。

本次课程设计多功能电子秒表是用数字电子技术实现时、分、秒计时的装置,采用555振荡器,计数器、译码器、显示器、和功能扩展电路等组成。由LED 数码管来显示译码器所输出的信号,采用了大量74LS系列中小规模集成芯片,使用与非门组成的校时电路。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成电子秒表的基本功能——计时。

本课题的设计达到的目的:除了使没有真正进行过电子技术实验的学生,通过课程设计,能够加深对电路理论知识的理解和掌握,更主要的是学习和掌握科学实验研究方法。学会运用理论和实验两种研究方法,解决实际问题能力。

通过本课题的设计,能培养我们掌握电子技术的科学实验规律,实验技术,测量技术等实验研究方法,使其具有独立实验研究的能力,以便在未来的工作中开拓创新。本设计所涉及的知识:方案分析及论证,产品功能的说明,原理说明,安装工艺,调试与测试,焊接技术,操作说明以及用到的EWB,Proteus,Protel,Microsoft Visio等软件技术的新手段,新工具。

目录

摘要 (2)

1.课题分析 (3)

2 方案的选择与讨论 (3)

2.1 时间脉冲产生电路 (3)

2.1.1 方案一:石英晶体振荡器 (3)

2.1.2 方案二: 555与RC组成的多谐振荡器 (4)

2.2 计时器 (5)

2.2.1 方案一:同步时序逻辑电路 (5)

2.2.2 方案二:异步时序逻辑电路 (5)

2.3 译码器显示电路 (6)

2.4防抖电路 (6)

3 电子秒表系统主体流程框图 (6)

4 主体单元电路的设计 (7)

4.1 振荡器的设计 (7)

4.2 计数器的设计 (9)

4.4 译码显示电路 (14)

4.5清零和暂停 (15)

5仿真测试和误差分析 (15)

5.1 仿真测试 (16)

5.2 误差分析 (16)

第6章设计总结与体会 (16)

参考文献 (17)

附录二器件表 (19)

摘要

电子秒表是一种用数字显示计时装置,与传统的机械秒表相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。大家都知道小到人们日常生活中的电子手表秒表,大到大型场合或比赛的大屏幕计时装置。

电子秒表从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,电子秒表的功能越来越强,并且有多种专门的大规模集成电路可供选择。电子秒表适用于自动打铃、自动广播,也适用于节电、节水及自动控制多路电器设备。

多功能电子秒表由以下几部分组成:555定时器组成的多谐振荡器构成秒脉冲发生器;六十进制的秒、分计数器和十或二十进制的时计数器;秒、分、时的数码显示部分等。具体要求如下:计数精度可达1/100秒;可显示时间99.99秒;具有开关可启动,暂停,清零功能,并通过电子秒表的制作进一步了解中小规模集成电路,提高自己的分析和解决现实中所遇到的问题的能力,为以后的学习或工作中打下一个良好的基础。

关键词:电子秒表,定时器,效率

电子秒表的设计与制作

1.课题分析

数字电子计时器实际上是一个对标准频率(1Hz)进行计数的计数电路。由于计时的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。同时标准的1Hz时间信号必须做到准备稳定。一个用来计时、分、秒的数字钟,主要由振荡器、计数器、译码器、显示器四个部分组成。要想构成电子秒表,首先应选择一个脉冲源能自动地产生稳定的标准时间脉冲信号,可由555定时器构成多谐振荡电路或石英晶体振荡电路作为激励源是频率达到0.01秒。计时的规律是:加计数时:当计到0.1秒时,0.1秒电路的十位十进制74LS192的C0端为高电平,送到分电路的个位十进制74LS192的CKA端(低电平有效),作为0.1秒脉冲信号。同理,我们易知1秒电路也是怎样给10秒电路提供10秒脉冲信号的。各计数器的输出端输出不同的8421BCD码,经译码器、驱动器到数字显示器,从而把对应秒数数字的形式显示出来。

2 方案的选择与讨论

2.1 时间脉冲产生电路

2.1.1 方案一:石英晶体振荡器

振荡器是电子秒表的核心。振荡器的稳定度及频率的精确度决定了电子秒表计时的准确程度,通常选用石英晶体构成振荡器电路。石英晶体振荡器框图如图2.2.1所示,它的作用是产生时间标准信号,从图中的各元器件值经过推算可知道它的精确度挺高的。因此,一般采用石英晶体振荡器经过分频得到这一时间脉

冲信号。在我们本课程设计中没有选择它,我们现在搞课程设计目的主要还是多弄懂一些原理知识, 石英晶体振荡器够成的时间脉冲产生电路的原理简单。

图2.1.1 石英晶体振荡器电路图

2.1.2 方案二: 555与RC组成的多谐振荡器

由集成电路定时器555与RC组成的多荡器作为时间标准信号源,如图2.2.2。555定时器的内部结构的够成能让我们学到关于多方面的知识,因为其内部主要电压比较器、电阻分压器、与非门组成的基本RS触发器、放电管、输出缓冲级等组成,并且考虑到系统尽量采用同类型的元器件,这里就选用了此方案。

=100Hz,其电路参数如图2.2.2这里选用由555构成的多谐振荡器,设振荡频率f

所示。

图2.1.2 由555构成的多谐振荡器

2.2 计时器

2.2.1 方案一:同步时序逻辑电路

采用同步时序逻辑电路,计时器组中的触发器采用相同的触发信号,按条件进行计数,这种方法的时钟信号单一,有利于校时控制,唯一的缺点是进位信号较复杂。

2.2.2 方案二:异步时序逻辑电路

采用异步时序逻辑电路,按0.01秒,0.1秒,1秒和10秒电路各设计四个计数器实现计时功能。0.01秒计数器用100Hz脉冲信号驱动,0.1秒计数器用0.01秒计数器的进位信号驱动,1秒计数器用0.1秒计数器的进位信号驱动,10秒计数器用1秒计数器的进位信号驱动。这种方案的逻辑关系比较简单,容易模块化,

虽有缺点,小时和分钟计数器的触发脉冲周期较长,不利于在校时时利用与非门对时钟信号进行切换,但这种方案便于手工制作。故选用此方案。

2.3 译码器显示电路

因本课题全部采用十进制集成块,因而计数器的译码显示均采用BCD七段译码器,显示器采用共阴的LED显示器。

2.4防抖电路

两个“与非”门构成一个RS触发器。当按键未按下时,输出为1;当键按下时,输出为0。此时即使用按键的机械性能,使按键因弹性抖动而产生瞬时断开(抖动跳开B),中要按键不返回原始状态A,双稳态电路的状态不改变,输出保持为0,不会产生抖动的波形。也就是说,即使B点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。这一点通过分析RS触发器的工作过程很容易得到验证。

3 电子秒表系统主体流程框图

经过方案的选择与讨论,得到多功能电子秒表系统的整体框图如图3所示,主体电路完成电子秒表的基本功能,我们在设计的过程中还扩展了其他功能,如暂停,清零等.

该系统的工作原理是:振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,经防抖电路输出标准的秒脉冲,计数器的输出经译码器送显示器。扩展电路必须在主体电路正常运行的情况下才能进行功能扩展,采用与非门接到分计数器和秒计数器相应的输出端。

图3.1.1 数字电子计时器系统主体流程框图

4 主体单元电路的设计

主体电路是由功能部件或单元电路组成的,在设计这些电路或选择功能部件时,尽量选用同类型的器件,如所有功能部件都采用TTL集成电路或都采用COMS 集成电路。整个系统所用器件类型应尽可能少。下面介绍各功能部件和单元电路的设计。

4.1 振荡器的设计

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,这里精确度要求不高,采用由集成电路定时器555与RC组成的多谐振荡器。555定时器的引脚功能图和功能表分别如图4.1和表4.1所示,这里

=100Hz,电路及参数如图4.1.1选用555定时器构成多谐振荡器,设震荡频率f

所示。

图4.1 555触发器的引脚图

表4.1555定时器的引脚功能表

图4.1.1 555多谐振荡器电路图

多谐振荡器的振荡频率为:

代入图中给出参数计算得R1=333K欧姆,R2+RL=333K,C1=0.01uF

4.2 计数器的设计

4.2.1采用十进制计数器

以74LS192为例,这是一个加法计数过程为0000-1001→0000的数字芯片,我们只需要将上一级的BO作为下一级的脉冲就能实现将几个芯片连在一起,实现我们的计数,下面是7ALS192的管脚图,逻辑图以及BCD码十进制计数时序表

CKA Q3 Q2 Q1 Q0

0 0 0 0 0

1 0 0 0 1

2 0 0 1 0

3 0 0 1 1

4 0 1 0 0

5 0 1 0 1

6 0 1 1 0

7 0 1 1 1

8 1 0 0 0

9 1 0 0 1

表4.2.1 BCD码十进制计数时序表

图4.2.1 74LS192管脚图

表4.2.2 74LS192功能表

经过仔细的分析和思考,可以通过以下连接方式

图4.2.2 74LS192芯片连接图

4.2.2采用十六进制计数器

以74LS161为例,74LS161 是十六进制计数器,使用反馈清零法可转换成十进制,表4.2.3和图4.2.3分别是74LS161的功能表和管脚图

表4.2.3 74LS161的功能表

图4.2.3 74LS161管脚图

经过分析和思考,我决定按下图连接方式连接74LS161芯片

图4.2.4 74LS161连接电路图

选择结果:我最终决定选择74LS192作为计数器的芯片因为:

(1)十六进制计数器要转换成为十进制计数器操作困难,电路繁琐,不仅在连接的时候易出差错,还浪费材料,且使用的门电路也比较多,增加了实验过程中的不确定性;

(2)十六进制虽然也有进位端,但不想十进制的那样在1001→0000的过程中输出进位信号,这就给电路最终计数到99.99的时候停止造成了困难

综上所诉,我决定使用十进制芯片作为本次课设的芯片,具体对比可参照仿真结果。

4.3 防抖电路

防抖原理:两个“与非”门构成一个RS触发器。当按键未按下时,输出为1;当键按下时,输出为0。此时即使用按键的机械性能,使按键因弹性抖动而产生瞬

时断开(抖动跳开B),中要按键不返回原始状态A,双稳态电路的状态不改变,输出保持为0,不会产生抖动的波形。也就是说,即使B点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。这一点通过分析RS触发器的工作过程很容易得到验证。

图4.3.1 防抖电路

4.4 译码显示电路

LED显示管可接受4输入8421BCD编码,因其内部有译码器,所以尤为方便。

可采用74LS47,74LS48,CD4511等集成电路将BCD码译成段码发送给8段发光二极管数码管,当然要选择相匹配的共阴极或共阳极译码驱动器。本设计我们选用74LS47芯片,它是输出低电平有效的七段字形译码器。译码驱动显示单元电路如图

图4.6 74LS48与数码管连接框图

工作原理:

译码器74LS48通过四个输入端A,B,C,D输入0—15个不同的二进制将其翻译成不同的高低电平组合,从而在数码管上显示出相应的16个不相同的数字符号。本设计最多只需0000—1001九个不同的二进制码元,所以数码管只显示0—9九个数字。

4.5清零和暂停

对于清零和暂停,我们选择了使用两个开关来控制,其中一个开关控制与脉冲信号同接一个与非门,以此来控制清零端,而另一个开关则在防抖电路控制输入脉冲让电路可以暂停,关于清零和暂停在这里就不多加阐述了。

5仿真测试和误差分析

5.1 仿真测试

1.启用proteus,对于我们所需要测试的参数主要是振荡电路输出脉冲周期及脉冲稳定性。

2.从元器件库中调出各种系列的芯片,电容,电感,电阻以及示波器等我们所需要的各种元器件,元器件调出后,认真连接各元件,对元器件的位置进行调整以求电路原理图美观简易,并保存图,使布局比较合理。

3.点击proteus的测试按钮,对电路系统进行测试,观察LED显示时间的变化。

5.2 误差分析

综合分析可以知道在测试电路的过程中可能带来的主要误差因素有:元件本身存在误差;焊接时,焊接点存在微小电阻;万用表本身的准确度而造成的系统误差;读数有误差;电源输出电压的不稳定等。

第6章设计总结与体会

通过这次对电子秒表的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字式电子计时器的原理与设计方法,要设计一个电路总要先用仿真,仿真成功之后才实际接线的。但是最后制作出来的成品却不一定与仿真时完全一样,因为,在实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

通过这次学习,让我对各种电路都有大概的了解并掌握了许多数字电子技术知识,不仅在理论上,而且在动手能力方面得到大大的提高。所以说,坐而言不

如立于行,对于这些电路还是应该自己动手实际操作才会深刻理解。在此次设计的数字式电子计时器过程中,我更进一步地熟悉了芯片的结构、管脚图、功能表及掌握了各芯片的工作原理和其具体的使用方法。而且这些知识是对我们大学生来说十分宝贵的实践经验,是无法在课堂上获得的,是现今社会最重视的同时也是我们最需要提高的部分。总之,我们在本次课程设计中获得了不少的知识和实践经验财富,促进我们能更快乐的去学习。

参考文献

【1】华容茂.电工、电子技术实习与课程设计.北京:电子工业出版社,2000. 【2】何金茂.数字电子技术导论.西安: 西安交通大学出版社,2007.

【3】阎石.数字电子技术基础.北京: 高等教育出版社,1989.

【4】谢自美.电子线路设计·实验·测试.武汉: 华中科技大学出版社,2006. 【5】汤继华.常用集成芯片使用.北京: 北京理工大学出版社,1995.

【6】张乃国.电子测量.北京: 人民邮电出版社,1985.

【7】[Invert transformer design for high frequency X-ray machine based on PWM controller SG 3525].

作者: Yu, Xue-fei; Li, Zhe

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

数字电子技术课程设计电子秒表精选版

数字电子技术课程设计 电子秒表 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

电子秒表课程设计

计算机硬件技术课程设计 学院:自动化工程学院 班级:_________________________ 学号:_________________________ 设计题目:9、电子秒表设计 2015年1月

一、设计题目:电子秒表设计 设计一个可任意启动/停止的电子秒表,要求用6 位LED 数码显示,计时单位为1/100 秒。利用功能键进行启/ 停控制。其功能为:上电后计时器清0,当第一次(或奇数次)按下启/ 停键时开始计数。第2 次(或偶数次)按下该键时停止计时,再一次按启/ 停键时清零后重新开始计时。可用开关控制,也可用按键控制。二、设计目的通过本项课程设计,对微机原理课程中涉及的芯片结构、控制原理、硬件编程等方面有一定的感性认识和实践操作能力,更好的理解微机原理课程中讲述的基本原理和概念。 进行微机原理课程设计前,应学习并掌握了8086/8088 汇编语言编程方法,掌握了8255 、8253 存储器等芯片的基本结构和工作原理,掌握了芯片编程控制的方法。 三、设计方案以及论证 1、整体设计思想 使用8253 工作在方式0 计数,对1/100S 计数,并讲计数值写入bl 中并与100 比较若不相等,则将计数值装换为10 进制后送8255 控制端显示,如相等则1S 计数程序加1 之后并与59 比较若不相等则将计数值装换为10 进制后送8255 控制端显示,如相等则1min 计数程序加1 之后并与59 比较若不相等则将计数值装换为10 进制后送8255 控制端显示,如相等则计数程序加1 之后产生溢出,跳转清零程序将计数清零,同时数码管清零。 2、使用各芯片的作用及工作原理 1)定时器/ 计数器8253 用系统8253 定时器提供的55ms 定时单位,设计秒表定时程序。 有关系统定时方法:PC 机系统中的8253 定时器0 工作于方式3,外部

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

电子秒表电路的设计

摘要 在数字测量仪表和各种数字系统中,都需要将数字量直观的显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。数码显示器就是用来显示数字、文字或符号的器件。七段式数字显示器是目前常用的显示方式,它利用不同发光段的组合,可以显示0~9等阿拉伯数字。充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示0.1~60秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。?关键词:基本RS触发器,单稳态触发器,多谐振荡器,译码显示器。 ?1电子秒表简介 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。 本实验设计的电子秒表电路的基本组成框图如图1-1所示,它主要由基本RS触发器、单稳态触发器、多谐振荡器、计数器和译码显示器5个部分组成。 图1-1 电子秒表电路的基本组成框图

2单元电路设计及相关元器件的功能简介 2.1基本RS触发器 本实验设计电路所选用的基本RS触发器为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。其功能表如表2-1所示。 RSQn Qn+1功能 0 0 0 不用不允许 0 0 0 不用 0 1 00 Qn+1=0,置0 0 1 1 0 1011Qn+1=1,置1 10 0 1 1 1 11Qn+1=Qn,保持 1 1 0 0 表2-1 基本RS触发器 如图2-1所示,它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。切换按钮开关K1(接地),则门1输出Q =1;门2输出Q=0,K1复位后Q、Q状态保持不变。再切换按钮开关K2,则Q由0变为1,门5开启,为计数器启动作好准备;Q由1变为0,送出负脉冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作. 图2-1 基本RS触发器

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

电子秒表计时电路的设计

电子秒表的设计 摘要:目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表, 电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能强,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会,通过这种综合性训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。 本次毕业设计的题目是电子秒表.电子秒表是用于测量较短且较精确的时间,它在体育运动项目上有着广泛的应用.本次设计中应用了多种数字电路中的单元电路,如基本RS触发器、单稳态触发器、时钟发生器及计数器,译码显示器等.我相信通过本次实验,将进一步加深对各数字单元电路的理解,同时也对基础电子设计有一定的了解,为今后的学习和工作打下一定的基础。 关键词:电子秒表;基本RS触发器;单稳态触发器;时钟发生器;计数器;译码显示器 The Design of Digital Stopwatch Abstract: Current digital electronics technology has been widely used in computers, automatic control, electronic measuring instruments, TV, radar, communications and other fields. For example, in the modern measurement technology, digital measuring instrument is not only accurate than analog gauges, powerful, and easy measurement of automation and intelligence. With the integration of technology, particularly in large-scale and ultra large scale integrated circuit development, application of digital electronic technology will be more widely infiltrated into all sectors of the national economy, and will have more profound impact. With modern society, the rapid development of electronic technology, requires us to integrate theory with practice, digital circuit design the project so that we have this great opportunity, through this comprehensive training, our ability, practical skills, ability of comprehensive knowledge applications get better promotion. The course design is the subject of electronic stopwatch. Stopwatch is a shorter and more accurate for measuring time, it projects in sports has been widely used. The design of the application of a variety of digital circuit elements in the circuit, such as basic RS flip-flop, one-shot, the clock generator and counter, decoder display. I believe that through this experiment, will further deepen the understanding of the digital cell circuits, but also on the basis of a certain electronic design understanding for future study and work to lay a certain foundation. Keywords: electronic stopwatch, the basic RS flip-flop, one-shot, the clock generator, counters, decoding display

相关主题
文本预览
相关文档 最新文档