当前位置:文档之家› 电子设计自动化技术答案

电子设计自动化技术答案

电子设计自动化技术答案
电子设计自动化技术答案

第一章

1-1 EDA技术与ASIC设计与FPGA开发有什么关系? P3~4

答:利用EDA技术进行电子系统设计的最后目标就是完成专用集成电路ASIC的设计与实现;FPGA与CPLD就是实现这一途径的主流器件。FPGA与CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA与CPLD的应用就是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)与ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点? P6

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标就是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性与创造性,它不就是机械的一一对应式的“翻译”,而就是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么就是综合?有哪些类型?综合在电子设计自动化中的地位就是什么? P5

什么就是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为与功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。

(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位就是什么? 答:就是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库与约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义就是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就就是在整个设计流程中各设计环节逐步求精的过程。

1-5 IP在EDA技术的应用与发展中的意义就是什么? P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16

答:1、设计输入(原理图/HDL文本编辑);2、综合;3、适配;4、时序仿真与功能仿真;5、编程下载;6、硬件测试。

2-2 IP就是什么?IP与EDA技术的关系就是什么? P24~26

IP就是什么? 答:IP就是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD 中的预先设计好的电路功能模块。

IP与EDA技术的关系就是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP就是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常就是以硬件描述语言HDL源文件的形式出现。固IP就是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

2-3 叙述ASIC的设计方法。 P18~19

答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)与全定制(Full-custom)两种实现方法。

全定制方法就是一种基于晶体管级的,手工设计版图的制造方法。

半定制法就是一种约束性设计方式,约束的目的就是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法与可编程逻辑器件法。

2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18

答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23

答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库与约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节

的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局与布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL就是怎样实现可编程组合电路与时序电路的。 P34~36

OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL就是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)就是通过对其中的OLMC(输出逻辑宏单元)的编程与三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2 什么就是基于乘积项的可编程逻辑结构? P33~34,40

答:GAL、CPLD之类都就是基于乘积项的可编程结构;即包含有可编程与阵列与固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3 什么就是基于查找表的可编程逻辑结构? P40~41

答:FPGA(现场可编程门阵列)就是基于查找表的可编程逻辑结构。

3-4 FPGA系列器件中的LAB有何作用? P43~45

答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器与PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50

答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法与“针床”夹具测试法来无法对IC内部节点无法测试的难题。

3-6 解释编程与配置这两个概念。 P58

答:编程:基于电可擦除存储单元的EEPROM或Flash技术。CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点就是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:基于SRAM查找表的编程单元。编程信息就是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分FPGA 采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM 中。

第四章

4-1:画出与下例实体描述对应的原理图符号元件:

ENTITY buf3s IS -- 实体1:三态缓冲器

PORT (input : IN STD_LOGIC ; -- 输入端

enable : IN STD_LOGIC ; -- 使能端

output : OUT STD_LOGIC ) ; -- 输出端

END buf3x ;

ENTITY mux21 IS --实体2: 2选1多路选择器

PORT (in0, in1, sel : IN STD_LOGIC;

output : OUT STD_LOGIC);

4-1、答案

4-2、图3-30所示的就是4选1多路选择器,试分别用IF_THEN语句与CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1与s0的数据类型为STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'与

s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。

4-2、答案

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

IF (S="00") THEN y<=a;

ELSIF (S="01") TH EN y<=b;

ELSIF (S="10") TH EN y<=c;

ELSIF (S="11") TH EN y<=d;

ELSE y<=NULL;

END IF;

EDN PROCESS;

END ART;

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END MUX41;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

CASE s IS

WHEN “00” => y<=a;

WHEN “01” => y<=b;

WHEN “10” => y<=c;

WHEN “11” => y<=d;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

END ART;

4-3、图3-31所示的就是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'与'1'时,分别有y<='a'与y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

4-3、答案

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MUX221 IS

PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号

s0,s1:IN STD_LOGIC;

outy:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ONE OF MUX221 IS

SIGNAL tmp : STD_LOGIC;

BEGIN

PR01:PROCESS(s0)

BEGIN

IF s0=”0” THEN tmp<=a2;

ELSE tmp<=a3;

END IF;

END PROCESS;

PR02:PROCESS(s1)

BEGIN

IF s1=”0” THEN outy<=a1;

ELSE outy<=tmp;

END IF;

END PROCESS;

END ARCHITECTURE ONE;

END CASE;

4-4、下图就是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL 设计文件。

4-4、答案

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MULTI IS

PORT(CL:IN STD_LOGIC; --输入选择信号

CLK0:IN STD_LOGIC; --输入信号

OUT1:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ONE OF MULTI IS

SIGNAL Q : STD_LOGIC;

BEGIN

PR01: PROCESS(CLK0)

BEGIN

IF CLK ‘EVENT AND CLK=’1’

THEN Q<=NOT(CL OR Q);ELSE

END IF;

END PROCESS;

PR02: PROCESS(CLK0)

BEGIN

OUT1<=Q;

END PROCESS;

END ARCHITECTURE ONE;

END PROCESS;

4-5、给出1位全减器的VHDL描述。要求:

(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber 就是半减器,diff就是输出差,s_out就是借位输出,sub_in就是借位输入。

(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算就是 x – y - sun_in = diffr)

4-5、答案

底层文件1:or2a、VHD实现或门操作

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

USE IEEE、STD_LOGIC_UNSIGNED、ALL;

ENTITY or2a IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END ENTITY or2a;

ARCHITECTURE one OF or2a IS

BEGIN

c <= a OR b;

END ARCHITECTURE one;

底层文件2:h_subber、VHD实现一位半减器

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

USE IEEE、STD_LOGIC_UNSIGNED、ALL;

ENTITY h_subber IS

PORT(x,y:IN STD_LOGIC;

diff,s_out::OUT STD_LOGIC);

END ENTITY h_subber;

ARCHITECTURE ONE OF h_subber IS

SIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN

xyz <= x & y;

PROCESS(xyz)

BEGIN

CASE xyz IS

WHEN "00" => diff<='0';s_out<='0';

WHEN "01" => diff<='1';s_out<='1';

WHEN "10" => diff<='1';s_out<='0';

WHEN "11" => diff<='0';s_out<='0';

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END ARCHITECTURE ONE;

顶层文件:f_subber、VHD实现一位全减器LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

USE IEEE、STD_LOGIC_UNSIGNED、ALL; ENTITY f_subber IS

PORT(x,y,sub_in:IN STD_LOGIC;

diffr,sub_out:OUT STD_LOGIC);

END ENTITY f_subber;

ARCHITECTURE ONE OF f_subber IS COMPONENT h_subber

diff,S_out:OUT STD_LOGIC);

END COMPONENT;

COMPONENT or2a

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END COMPONENT;

SIGNAL d,e,f: STD_LOGIC;

BEGIN

u1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);

u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f); u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);

END ARCHITECTURE ONE;

END ARCHITECTURE ART;

4-6、根据下图,写出顶层文件MX3256、VHD的VHDL设计文件。

4-6、答案

MAX3256顶层文件

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

USE IEEE、STD_LOGIC_UNSIGNED、ALL;

ENTITY MAX3256 IS

PORT (INA,INB,INCK: IN STD_LOGIC;

INC: IN STD_LOGIC;

END ENTITY MAX3256;

ARCHITECTURE ONE OF MAX3256 IS

COMPONENT LK35 --调用LK35声明语句

PORT(A1,A2:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q1,Q2:OUT STD_LOGIC);

END COMPONENT;

COMPONENT D --调用D触发器声明语句

PORT(D,C:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END COMPONENT;

COMPONENT MUX21--调用二选一选择器声明语句

PORT(B,A:IN STD_LOGIC;

S:IN STD_LOGIC;

C:OUT STD_LOGIC);

END COMPONENT;

SIGNAL AA,BB,CC,DD: STD_LOGIC;

BEGIN

u1: LK35 PORT MAP(A1=>INA,A2=>INB,CLK=INCK, Q1=>AA,Q2=>BB); u2: D PORT MAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

u3: LK35 PORT MAP (A1=>BB,A2=>CC,CLK=INCK, Q1=>DD,Q2=>OUT1); u4: MUX21 PORT MAP (B=>AA,A=>DD,S=>BB,C=>E);

END ARCHITECTURE ONE;

设计含有异步清零与计数使能的16位二进制加减可控计数器。4-7、答案:

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

USE IEEE、STD_LOGIC_UNSIGNED、ALL;

ENTITY CNT16 IS

PORT(CLK,RST,EN:IN STD_LOGIC;

CHOOSE:IN BIT;

SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0;

COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0);

END CNT16;

ARCHITECTURE ONE OF CNT16 IS

BEGIN

PROCESS(CLK,RST,SDATA)

VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0);

BEGIN

IF RST='1' THEN --计数器异步复位

QI:=(OTHERS=>'0');

ELSIF SET=’1’ THEN--计数器一步置位

QI:=SETDATA;

ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿

IF EN=’1’ THEN –检测就是否允许计数

IF CHOOSE=’1’ THEN --选择加法计数

QI:=QI+1; --计数器加一

ELSE QI=QI-1; --计数器加一

END IF;

END IF;

END IF;

COUT<=QI;--将计数值向端口输出

END PROCESS;

END ONE;

第五章

5-1 归纳利用Quartus II进行VHDL文本输入设计的流程:从文件输入一直到SignalTap II测试。P95~P115

答:1 建立工作库文件夹与编辑设计文件;2 创建工程;3 编译前设置;4 全程编译;5 时序仿真;6 引脚锁定;7 配置文件下载;8 打开SignalTap II编辑窗口;9 调入SignalTap II的待测信号;10 SignalTap II参数设置;11 SignalTap II

参数设置文件存盘;12 带有SignalTap II测试信息的编译下载;13 启动SignalTap II进行采样与分析;14 SignalTap II的其她设置与控制方法。

5、6

5、7

5、8

5、9

5、10

5、12

5、13

5、14

第六章

6-1 什么就是固有延时?什么就是惯性延时?P150~151

答:固有延时(Inertial Delay)也称为惯性延时,固有延时的主要物理机制就是分布电容效应。

6-2 δ就是什么?在VHDL中,δ有什么用处?P152

δ就是什么? 答:在VHDL仿真与综合器中,默认的固有延时量(它在数学上就是一个无穷小量),被称为δ延时。

在VHDL中,δ有什么用处?答:在VHDL信号赋值中未给出固有延时情况下,VHDL 仿真器与综合器将自动为系统中的信号赋值配置一足够小而又能满足逻辑排序的延时量δ;使并行语句与顺序语句中的并列赋值逻辑得以正确执行。

6-4 说明信号与变量的功能特点,以及应用上的异同点。P128~P129

答:变量:变量就是一个局部量,只能在进程与子程序中使用。变量不能将信息带出对它做出定义的当前结构。变量的赋值就是一种理想化的数据传输,就是立即发生的,不存在任何延时行为。变量的主要作用就是在进程中作为临时的数据存储单元。

信号:信号就是描述硬件系统的基本数据对象,其性质类似于连接线;可作为设计实体中并行语句模块间的信息交流通道。信号不但可以容纳当前值,也可以保持历史值;与触发器的记忆功能有很好的对应关系。

6-5 在VHDL设计中,给时序电路清零(复位)有两种力方法,它们就是什么?

解:设Q定义成信号,一种方法:Q<=“000…000”;其中“000…000”反映出信号Q的位宽度。第二种方法:Q<=(OTHERS=>‘0’);其中OTHERS=>‘0’不需要给出信号Q的位宽度,即可对Q清零。

6-6 哪一种复位方法必须将复位信号放在敏感信号表中?给出这两种电路的VHDL描述。

解:边沿触发复位信号要将复位信号放在进程的敏感信号表中。

(1)边沿触发复位信号

……………………、

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(RST)

BEGIN

IF RST’EVENT AND RST=‘1' THEN

QQ<=(Others=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

(2)电平触发复位信号

……………………、

ARCHITECTURE bhv 0F DFF3 IS

SIGNAL QQ:STD_LOGIC;

BEGIN

PROCESS(CLK)

BEGIN

IF RST=‘1' THEN

QQ<=(Oth ers=>‘0’);

END IF;

END PROCESS;

Q1<=QQ;

END;

………………………

6-7 什么就是重载函数?重载算符有何用处?如何调用重载算符函数?

答:(1)什么就是重载函数? 根据操作对象变换处理功能。

(2)重载算符有何用处? 用于两个不同类型的操作数据自动转换成同种数据类型,并进行运算处理。

(3)如何调用重载算符函数?采用隐式方式调用,无需事先声明。

6-8 判断下面三个程序中就是否有错误,若有则指出错误所在,并给出完整程序。

程序1:

Signal A,EN : std_logic;

…………………

Process(A, EN)

Variable B: std_log ic;

Begin

if EN=l then B<=A; end if; --将“B<=A”改成“B:=A”

end process;

程序2:

Architecture one of sample is

variable a,b,c:integer;

begin

c<=a+b; --将“c<=a+b”改成“c:=a+b”

end;

程序3:

library ieee;

use ieee、std_logic_1164、all;

entity mux21 is

PORT(a,b:in std_logic; sel:in std_loglc;c:out std_logle;); --将“;)”改成“)”

end sam2; --将“sam2”改成“entity mux21”

architecture one of mux2l is

begin

--增加“process(a,b,sel) begin”

if sel= '0' then c:=a; else c:=b; end if; --应改成“if sel= '0' then c<=a; else c<=b; end if;”

--增加“end process;”

end two; --将“two”改成“architecture one”

7-2 LPM_ROM、LPM_RAM、LPM_FIFO等模块与FPGA中嵌入的EAB、ESB、M4K有怎样的联系?

答:ACEXlK系列为EAB;APEX20K系列为ESB;Cyclone系列为M4K

第八章

8-1仿照例8-1,将例8-4单进程用两个进程,即一个时序进程,一个组合进程表达出来。

--解:【例8-4】的改写如下:

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MOORE1 IS

PORT(DATAIN: IN STD_LOGIC_VECTOR(1 DOWNTO 0);

CLK,RST: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END MOORE1;

ARCHITECTURE behav OF MOORE1 IS

TYPE ST_TYPE IS (ST0,ST1,ST2,ST3,ST4); SIGNAL C_ST,N_ST: ST_TYPE;

BEGIN

REG: PROCESS(CLK,RST)

BEGIN

IF RST='1' THEN C_ST<=ST0; -- Q<="0000"; ELSIF CLK'EVENT AND CLK='1' THEN

C_ST<=N_ST;

END IF;

END PROCESS REG;

COM: PROCESS(C_ST,DATAIN)

BEGIN

CASE C_ST IS

WHEN ST0=> IF DATAIN="10" THEN N_ST<=ST1; ELSE N_ST<=ST0; END IF;

Q<="1001";

WHEN ST1=> IF DATAIN="11" THEN N_ST<=ST2; ELSE N_ST<=ST1 ;END IF;

Q<="0101";

WHEN ST2=> IF DATAIN="01" THEN N_ST<=ST3; ELSE N_ST<=ST0 ;END IF;

Q<="1100";

WHEN ST3=> IF DATAIN="00" THEN N_ST<=ST4; ELSE N_ST<=ST2; END IF;

Q<="0010";

WHEN ST4=>IF DATAIN="11" THEN N_ST<=ST0;

ELSE N_ST<=ST3 ;END IF;

Q<="1001" ;

WHEN OTHERS=> N_ST<=ST0;

END CASE;

END PROCESS COM;

END behav;

8-2为确保例8-5(2进程Mealy型状态机)的状态机输出信号没有毛刺,试用例8-4的方式构成一个单进程状态,使输出信号得到可靠锁存,在相同输入信号条件下,给出两程序的仿真波形。

--解:【例8-5】改写如下:

LIBRARY IEEE;

USE IEEE、STD_LOGIC_1164、ALL;

ENTITY MEALY1 IS

PORT(CLK,DATAIN,RESET: IN STD_LOGIC;

Q:OUT STD_LOGIC_VECTOR(4 DOWNTO 0));

END MEALY1;

ARCHITECTURE behav OF MEALY1 IS

TYPE states IS (st0,st1,st2,st3,st4);

SIGNAL STX: states;

BEGIN

PROCESS(CLK,RESET) --单一进程

BEGIN

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子设计自动化技术及其应用简述

龙源期刊网 https://www.doczj.com/doc/8915591163.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电子设计自动化实验报告

江苏大学京江学院Array JINGJIANG COLLEGE OF J I A N G S U U N I V E R S I T Y 课程实验报告 电子设计自动化实验报告 班级: 学号: 姓名:

实验一半加器和全加器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习用图形输入方式和VHDL语言输入方式设计数字电路 3. 学习用元件例化语句进行结构化设计 二、实验内容 1. 用图形方式设计一位半加器 2.用VHDL语言设计一位半加器 3.用图形方式设计全加器 4.用元件例化方式设计全加器 三、设计实现 1. 用图形方式设计一位半加器

原理图: 仿真波形: 2.用VHDL语言设计全加器 原理图: 源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2 IS PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC); END ENTITY or2; ARCHITECTURE fu1 OF or2 IS BEGIN c<=a OR b; END ARCHITECTURE fu1; ---半加器描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY half_adder IS

PORT(a,b:IN STD_LOGIC;s,c:OUT STD_LOGIC); END ENTITY half_adder ; ARCHITECTURE fu1 OF half_adder IS BEGIN s<=a XOR b; c<=a and b; END ARCHITECTURE fu1; --1位二进制全加器顶层设计描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT( A,B,Cin :IN STD_LOGIC;sum,cout :OUT STD_LOGIC;); END ENTITY f_adder IS COMPONENT h_adder2; PORT( a,b:IN STD_LOGIC; c,s :OUT STD_LOGIC); END COMPONENT; COMPONENT or2 PORT( a,b :IN STD_LOGIC; c :OUT STD_LOGIC); END COMPOMENT; SINGNAL d,e,f : STD_LOGIC; BEGIN u1 :h_adder2 PORT MAP( A,B,d,e); u2 :h_adder2 PORT MAP(d,Cin,f,sum); u3 : or2 PORT MAP(e,f,cout); END ARCHTECTURE fd1; 仿真波形: 实验二四选一数据选择器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习VHDL语言中顺序语句和并行语句的使用

电子设计员试题

汽车电子设计员试题 姓名: 得分: 一、 单项选择题(每题1分、共30分) 1、电阻元件伏安特性公式( A )、电容元件伏安特性公式( B )。 A 、u=Ri ; B 、i= C ; C 、u=L ; D 、i=。 2、如图1、已知I 1=0.01A ,I 2=0.3A ,I 5=9.61A ,则I 3为( A ),I 6为( C )。 A 、0.31A ; B 、9.3A ; C 、9.6A ; D 、9.61A 。 图1 3、以下图2中 , A 和B 为 输入 F 为输出 则该图的表达式为: ( A )。 A F=A B ; B F=A+B ; C F=A ⊙B ; D F=A ?B 。 图2 图3 4、基尔霍夫定律有电流(KCL )和电压定律(KVL ),电路中任一回路上的电压都满足:( A )。 A 、ΣI i =ΣI O ; B 、ΣU=0 ; C 、U=IR ; D 、ΣU=IR 。 5、图3所示电路原已处于稳定状态,在t=0时开关S 闭合,电路进入新的稳定状态这段时间内电感中的电流i 变化规律为( D )。 A 、i= ; B 、i=I 0 e - ; C 、i=I 0 e - ; D 、i=I 02L ; 6、要想取得输出负载上最大功率,负载和电源内阻关系是( C )。 A 、负载的内阻大于电源内阻; B 、负载的内阻等于电源内阻; C 、负载的内阻大于很多电源内阻; D 、负载的内阻小于电源内阻。 7、20℃时,铜的电阻率为:( D ); A 、1.75×10-5Ωm ; B 、1.75×10-6Ωm ; C 、1.75×10-7Ωm ; D 、1.75×10-8Ωm ; 8、在CAN 总线仲裁时那个ID 具有最高优先级 ( C )。 A 、$270 B 、$720 C 、$027 D 、$207。 9、以下那种金属导电能力最好( A )。 A 金; B 银; C 铜; D 铝。 u L I 0 L S(t=0) - + - + U S R R 1 I 3 I 4 I 2 I 1 I 6 I 5

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

电子设计项目模拟试题1-第六届

准考证号 工位号 注意:只填写准考证号和工位号,否则试卷作废 密 封 线 ----------------------------------------------------------------------------- “蓝桥杯” 第六届全国软件和信息技术专业人才大赛 电子设计与开发项目模拟试题1 竞赛时间:5小时 题 号 一 二 三 四 总 分 配 分 20分 15分 15分 50分 100分 得 分 “简易密码控制装置”设计任务书 功能简述 设计并制作一个具有读秒显示功能的密码控制器,输入正确的密码后开始读秒,到达规定的秒数后,关闭待控制单元;密码控制器设置有4个按键,按照一定的组合顺序可以打开待控制单元,默认密码:122234,电路示意图如图1所示。 密码输入与控制单元 计数 译码显示 秒信号发生器OUT2 RST3 RST2 EN RST1 上电复位电路 RST 设计部分 QA QAQB QBQC QC QD 图1 电路示意图 本题所涉及芯片资料及系统电路组装部分电路原理图可参考计算机上的电子文档。使用绘图软件设计的硬件电路原理图,请以考生准考证号命名,并保存在计算机上的考生文件夹中(文件夹名为考生准考证号,文件夹位于Windows 桌面上)。设计过程中不得使用给定考试套件之外的元器件。

设计任务及要求 1.电路组装与调试部分 1.1系统电源部分的组装与调试; 1.2密码输入部分的组装与调试; 1.3秒脉冲信号发生器的组装与调试; 1.4计数、译码及显示部分的组装与调试。 2.电路设计部分 2.1合理连接电路板上预留的测试点,要求完成如下功能: 1. 电路板上电复位正常 2. 输入正确密码后,CD4060产生1Hz方波信号,数码管显示数字“0”到“7”; 第8秒时,密码输入部分电路、计数部分电路复位,数码管持续显示数字“0”, CD4060停止输出脉冲信号,直到下一次输入正确密码; 2.2设计控制电路并绘制原理图,要求完成如下功能: 1. 当输入正确密码后,继电器吸合,8秒后,自动断开; 2. 当输入正确密码后,NE555输出2HZ方波信号驱动发光二极管闪烁,8秒后 发光二极管熄灭。 项目名称得分评卷人 电路设计 一. 电路原理图设计 画出“电路设计部分”2.2中的控制电路原理图,需标明各元器件的详细参数,并将SCH文件保存在指定的考生文件夹中。 项目名称得分评卷人 电路焊接 二. 电路板焊接 要求焊点大小适中,无漏、假、虚、连焊,焊点光滑、圆润、干净,无毛刺;引脚加工尺寸及成形符合工艺要求;导线长度、剥头长度符合工艺要求,芯线完好,捻头镀锡。 项目名称得分评卷人 产品装配

电子设计自动化(EDA)期末必考题目汇总

电子设计自动化期末必考题目汇总 1、EDA的英文拼写:electronic design automation 2、Eda的设计方法:两种一种为由底向上的设计方法即传统的设计方法,一种是自顶向下的设计方法即现代设计方法。 3、自顶向下分为三个阶段:系统设计、系统的综合优化、系统的实现 4、系统的设计流程主要包括:系统的功能分析、系统的结构设计、系统的描述、系统的功能仿真。 5、适配器:结构综合器,功能是将由综合器产生的网表文件配置与指定的目标器件中,使之产生最终的下载文件中。 6、FPGA芯片对应的是:sof文件,CPLD芯片对应的是pof文件 7、FPLD:现场可编程逻辑器件,FPGA:现场可编程门阵列,CPLD:复杂现场可编程逻辑阵列 8、可编程逻辑器件分为:FPGA和CPLD其中CPLD断电后不丢失。 9、基于乘积项的PLD分为三部分:宏单元、PIA、I/O控制板10、quartus软件的波形文件名为.vwf 11、VHDL语言的特点: (1)、VHDL是工业标准的文本格式语言。 (2)、具有强大的描述能力。 (3)、VNDL能同时支持方针和综合。 (4)、VHDL语言是并发执行的语句 (5)、VHDL支持结构化设计和top-down设计方法(6)、VHDL的描述与工艺无关 12、STD-logic型数据能够在数字器件中实现的只有四种即“-”、“0”、“1”、“z”但这并不表明其他5种值不存在,这9种值对于VHDL的行为仿真都有重要意义。 13、用户自定义的数据类型:枚举类型、整数类型、数组类型(所有的值必须一样)、记录类型(值得类型可以不一样)、事件类型、实数类型。 14、数据类型转换分为两种:类型转换函数方式、直接类型转15、换方式常量说明语句所允许的设计单元有:实体,结构体、程序包、块、进程、子程序 16信号与变量的区别: (1)、赋值语句的不同,信号赋值用“《=”而变量为“:=” (2)、通常变量的值可以给信号赋值但信号的值却不能给变量赋值

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

eda 电子设计自动化

一、如图1所示,电路为二级电压串联负反馈的放大电路,其中Vs为V AC/SOURCE,其属性设置为默认值。三极管Q2N3904的模型参数为默认值。试用EWB软件作如下的分析:(1)求直流工作点;(2)求无负反馈(即无电阻Rf)时的输入电阻、输出电阻、电压增益和上限截止频率;(3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度,并总结反馈深度对放大电路性能的影响。(25分) Re1 300Rb4 20k Rs 200 Rf 6.2k Vcc 12Vdc Ce2 10u Ce1 10u R5 5k Q2 Q2N3904 Cb2 2.2u Rb3 300k Vout Q1 Q2N3904 Vs 1Vac 0Vdc Cb1 2.2u Vin Re2 680 Rb1 300k Cb3 2.2u Re3 820 Rc1 5.1k R2b 20k Rc2 5.1k 解:(1)直流工作点 由图知:I CQ1=124.345uA I CQ2=140.332uA 计算知:U CEQ1=V CC-I CQ1(R C1+R E1+R E2)=11.244V

U CEQ2=V CC-I CQ2(R C2+R E3)=11.189V (2)无负反馈时的输入电阻、输出电阻、电压增益和上限截止频率 a、输入电阻 由图知:Ui=9.865mA Ii=673.469nA 计算得:Ri=Ui/Ii=14.6kΩ b、输出电阻 由下页图知:Uo=11.665pV Io=2.334fA 计算得:Ro=Uo/Io=5kΩ

C、电压增益 d、上限截止频率 查书得:f=1/(2×3.14×Rs×C)=3.6MhzMhz Rs=200Ω C=2.2uF (3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度

电子设计与制作试卷(A)

班级: 姓名: 考号: 学号 ………………………………密………………………封………………………………线…………………………… 电信学院09级应用电子技术专业专科《电子设计与制作》试卷(A ) 说明:本试卷共四页、四道大题,答卷一律在试卷规定处填写,答在其它处不得分。 一、填空题(本题共3小题,每空2分,共10分。) 1、CAD (Computer Aided Design )是 的简称。 2、原理图中能够表示元件引脚之间电气连接关系的有 、 和 。 3、在双面板和多层板中,可以使用 实现不同导电层之间的电气互联。 二、判断题(本题共10小题,每小题2分,共20分。) 1、网络标号、总线和总线分支线都具有电气特性。 ( ) 2、原理图中具有相同网络标号的导线,都被看作同一条导线。 ( ) 3、一个元件只有一种电气图形符号,但可以有不同的封装形式。 ( ) 4、封装形式DIP20能够进行旋转、对称操作。 ( ) 5、对同一电路来说,原理图中与印制板中元件的连接关系应完全相同。 ( ) 6、色环电阻的表示方法是:每一色环代表一位有效数字。 ( ) 7、变压器有变换电压和变换阻抗的作用。 ( ) 8、二极管和三极管在电路上的作用相同。 ( ) 9、电感的单位是用大写字母L 表示。 ( ) 10、发光二极管(LED )通常情况下脚长的为负极,脚短的为正极。 ( ) 三、单项选择题 (本题共10小题,每小题4分,共40分。) 1、电阻常用的封装形式为 ( ) A RAD0.2 B RB.2/.4 C AXIAL0.4 D DIODE0.4 2、Protel 99 SE 中原理图文件的扩展名为 ( ) A *.Schlib B *.Sch C *.SchDoc D *.Sdf 3、在编辑区内,要使处于激活状态的元件旋转90°,可按下键盘上的 ( ) A 回车键 B X 键 C Y 键 D 空格键 4、同一电路板内,印制导线宽度最大的一般是 ( ) A 信号线 B 地线 C 电源线 D 飞线 5、对电路原理图进行电气规则检查后形成的报告文件格式是 ( ) A *.ERC B *.ERR C *.DRC D *.REP 6、要实现编辑区的刷新功能,可以按下键盘上的 ( ) A End 键 B Alt 键 C Tab 键 D Ctrl 键 7、要缩小编辑区可以使用键盘上的 ( ) A Page Up 键 B Page Down 键 C Home 键 D End 键 8、参数设置中,用于锁定栅格并设定光标位移步长的参数是 ( ) A Grid B Visible Grid C Snap Grid D Electrical Grid 9、创建或修改元件封装图时,必须启动的编辑器是 ( ) A Schematic B PCB C Schematic Library D PCB Library 10、在印制电路板中,元件的外形、序号和说明性文字一般放在 ( ) A Multi Layer B Keep Out Layer C Top Overlayer D Top Layer 四、简答题(本题共5小题,每小题6分,共30分。)

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

电子设计自动化实验报告

*************** 实验报告 课程名称:电子设计自动化小组成员及学号:_______________ _________ _______________ _______________ _______________ ********************** ***********

应用程序,启动protel99se。 3、创建一个新的设计数据库文件 步骤:1). 【File】|【New】 2). 单击Browse按钮,选择文件的存储位置,Protel 99 SE默认文件名为desigh .ddb”。 3). 单击【OK】们就创建了一个新的设计数据库文件。 4、启动原理图编辑器 步骤:1). 【File】|【New】 2). 单击Schematic Document 【OK】或直接双击 3). 单击Explore 下的Sheet1或直接双击工作窗口中的Sheet1

1).更改屏幕分辨率 2).界面字体设置 8、在Protel99se中建立自己的设计数据库Design.ddb。

实验内容与分析: 1).设置电路图纸 假定系统已进入原理图编辑器,提出以下要求: A.图纸大小:B号; B.图纸方向:水平方向放置; C.标题栏型式:标准型标题栏。 2).将库文件“Miscellaneous Devices.ddb”, “Dallas Microprocessor.ddb”,“Intel Databooks.ddb”, “Protel Dos Schematic Libraries.ddb”依次装入。 3、放置元件 在元件库中选定所需元件,然后放置元件到工作平面上。 4、删除元器件 1)菜单命令【Edit】|【Delete】 2)当光标变为十字形后,将光标移到要删除的元件处,单击鼠标左键即可将所指元件删除。此后,程序仍处于删除命令状态,若要退出单击鼠标右键或按ESC 键退出命令状态。 5、元件移动 菜单命令:【Edit】|【Move】|【Move】

电子设计自动化学习心得范文

电子设计自动化学习心得范文 通过这一周的课程设计,我对一些专业知识和电子设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次电子课程设计主要是对本学年学习的模拟电子技术和数字电子技术的应用,同时加上电路等知识,设计一些课题。下面就是带来的电子设计自动化学习心得,希望大家喜欢。 电子设计自动化学习心得1 随着科学飞速发展,电气自动化在日常生活工作中的地位日益提高,电子的高度集成、电气设备的飞速更新,使得我在工作中的专业知识很难跟上科技的步伐,通过黑龙_0_年度专业技术人员继续教育知识更新的培训学习的不同课程,使我的专业知识得到巩固和提高,在工作中遇到的技术难题的以解决,也让我深刻体会到电气自动化对人类工业发展的重要性,在这次培训中我所体会的心得如下: 20世纪科学技术迅速发展,至如今已经涌现出众多新兴科学技术分支:计算机和信息论;如控制论和自动化技术;分子生物学和遗传工程;激光技术和光导纤维;宇航科技等等。它们汇聚成一股巨大的力量,急剧地改变着人类的劳动方式和生活方式,促进社会各方面产生深刻的变化。它不仅冲击着生产第一线的工人

和农民,而且冲击着企业、事业、政府机关,甚至家庭主妇。这些变革来得如此之快,致使对社会现象最为敏感的社会学家也感到愕然:这个社会将去向何处?从科学意义上来看,人类社会无一不是能量交换和信息交换的有机组合,当我们详尽地了解了人类社会各种具体的特殊规律之后,都可以用具有这两种功能的机器来完成,这便是自动化技术。所以从某种意义上来说,自动化就是现代化的代名词。由此可以断言,人类社会历经原始的人力时代,走过精密的机械时代,如今正处于一个新的变革时期,而这次变革的终点便是振奋人心的自动化时代。之所以说它“振奋人心”,是因为在自动化时代里,几乎所有的生产活动都可以用机器来完成,人类劳动生产率将得到大大的提高,社会财富将极大丰富。只有在此时,人类才能摆脱为了糊口而不得不从事的强迫劳动,生产力得到全面的解放,使实现共产主义真正成为可能。 我国古代的指南车、木牛流马、铜壶滴漏,欧洲的钟表报时装置和一些手工机械,无一不反映人民的聪明智慧,多少都带有一些“自动”的味道。但真正刻意设计出来取代或增强人的智能功能,从而能在不确定的条件下保证实现预定目标的自动装置最早应属瓦特发明的蒸汽机上的离心调速器。它自觉地运用了反馈原理,从而能在锅炉压力和负荷变化的条件下把转速保持在一定的范围。

相关主题
文本预览
相关文档 最新文档