当前位置:文档之家› 数字直放站中CPRI协议的FPGA实现

数字直放站中CPRI协议的FPGA实现

数字直放站中CPRI 协议的FPGA 实现

陈岳林1,石江宏2

(1.厦门大学 福建厦门 361005;2.厦门大学无线通信实验室 福建厦门 361005)

摘 要:为了开发数字直放站连接系统,介绍CPRI 协议规范和帧结构,讨论其硬件上的实现方案,给出基于

SCAN25100的FP GA 电路模块设计,采用Verilog 语言设计开发功能模块。该方案具有便于功能扩展、成本低、使用灵活等

特点,通过实际测试表明,此方案可进行可靠的数据传输,性能稳定,从而实现了数字直放站和基站之间更有效的互通,扩大了基站的覆盖范围。

关键词:直放站;CPRI ;现场可编程逻辑阵列;SCAN25100

中图分类号:TN913 文献标识码:B 文章编号:10042373X (2009)042031204

Implementation of CPRI Protocol in Digital R epeater on FPG A

CH EN Yuelin 1,SHI Jianghong 2

(1.Xiamen University ,Xiamen ,361005,China ;2.Wireless Communication Lab ,Xiamen University ,Xiamen ,361005,China )

Abstract :To develop the connection of digital repeaters ,CPRI protocol specification and f rame structure are introduced.Its implementation on hardware is discussed.The detail module design of FP GA circuit and program based on SCAN25100are elaborated.The design is easy to expand and low cost.The experimental analysis shows that the solution could accomplish the data transmission ,and the interconnection between base station and digital repeater are implemented ,the network ′s coverage is enhanced.

K eywords :repeater ;CPRI ;FP GA ;SCAN25100

收稿日期:2008208221

基金项目:福建省重大专项基金资助项目(2007HZ003)

0 引 言随着移动通信的发展,通信网络覆盖范围已经成为衡量通信网络运行的重要标准,直接影响着运营商的经济效益。而直放站的发展应用,已成为提高运营商网络质量,解决网络盲区或弱区问题,增强网络覆盖的主要手段之一。一个基站可以与几个直放站相连,可以组成链状、星型、树型等灵活的拓扑结构,使基站的覆盖范围大大增加。同时,既节省空间,又降低成本,提高了组网的效率。

但由于传统模拟直放站设备间没有统一的协议规范,无法满足系统厂商与直放站厂商的兼容,无法实现基站和直放站之间更有效的互通,从而限制了两者之间控制和数据的可靠传输。2003年6年,由包括爱立信、华为、N EC 、北电网络及西门子5大集团合力制定了CPRI (Common Public Radio Interface )接口。该组织成立的主要目的是制定这个接口的标准协议,从而使该接口成为一个公共的可用的指标。开放的CPRI 接口为3G 基站产品和2G 数字直放站在增加效益,提高灵活性方面提供了便利。

1 CPRI 协议概述

CPRI 规范[1]定义了物理层和链路层两层协议,能

实现数字基带IQ 信号传输时分复用,其协议结构图如图1所示[2]。物理层用千兆以太网的标准,传输的数据采用8B/10B 编解码,通过光模块串行发送,为达到所要求的灵活度和成本效益,线路比特速率有614.4Mb/s ,1228.8Mb/s 和2457.6Mb/s 三种。链

路层定义了一个同步的帧结构。帧结构包括基本帧和超帧,每个基本帧的帧频为3.84M Hz ,包括16个时隙,根据线路比特率的不同,每个时隙的大小分别为1B ,2B ,4B 。其中第一个时隙为控制时隙,其余15个

时隙为I/O 数据时隙,用来传送I/O 数据流。超帧则由256个基本帧构成,256个基本帧的控制时隙共同构成超帧的控制结构(如图2所示),同时,定义了快速C/M 通道(以太网)和慢速C/M 通道(HDL C ),用于传送

控制类和管理类的数据,可以对直放站进行维护[3,4]。2 硬件实现方案2.1 方案对比

对于CPRI 硬件实现方案,有以下几种方案可以选择:

1

3《现代电子技术》2009年第4期总第291期 计算机应用技术

(1)PMC 方案。采用PMC7830或PMC7832芯

片,这一类芯片把CPRI 协议全部集成在芯片内部,只

留出接口,使用简单方便,可完全支持用于无线基站连接的公共射频接口(CPRI )规范。

(2)用带ROCKET IO 的FPG A 实现CPRI 协议,此方法灵活性高,但开发时间周期会比较长,影响产品开发。

(3)FP GA 与SCAN25100相结合。由FP GA 实现CPRI 的成解帧及相关接口设计,SCAN25100负责完成8B/10B 编解码和高速串并转换。链路层的帧协议修改方便,而物理层则由芯片完成,使用简单,性能稳定。开发成本较低,且扩展性好。

(4)FP GA 与TL K4015相结合。TL K4015是4通道、0.6~1.5Gb/s 通道收发器,当系统需要多的通道数时,使用该方案可以减少电路板尺寸

图1 CPRI

协议结构

图2 CPRI 超帧控制字结构

2.2 硬件详细设计

该设计采用第3种的硬件实现方案,整个硬件实现由5个部分组成,如图3所示,分别为CPRI 链路层协议实现模块,CPRI 物理层协议实现模块、光传输模块、时钟管理模块和系统配置与监控模块。2.2.1 CPRI 链路层协议实现模块

CPRI 链路层只是定义了一个同步的帧结构,而里

面的IQ 数据和控制管理数据都是由用户按需求自由处理的,采用FP GA 实现CPRI 的成帧、解帧及相关的控制,处理灵活,方便以后服务增加进行升级。在下面的软件部分做详细介绍。 2.2.2 CPRI 物理层协议实现模块[5,6]

采用国半的CPRI 串行/解串器SCAN25100,SCAN25100是专门为CPRI 协议设计的高速串并转换芯片,除了串并转换之外,还有8B/10B 编解码功能,其内部结构框图如图4所示

图3 

硬件实现框图

图4 SCAN25100结构框图

图4中的TXCL K 和RXCL K 都是双边沿采集数

据,降低了频率要求,光纤接口(DOU T 和RIN )的速率由TXCL K 决定,当TXL C K 为61.44M Hz 时,经8B/10B 编码,再并串转换后,DOU T 的速率就是1228.8Mb/s 。对于RXCL K 也就是接收过程的时钟,可以采用芯片内部自动恢复模式。当作为RE 端时,内部振荡器产生的30.72M Hz 时钟S YSCL K 可以直接作为参考时钟REFCL K ,省去一个精准的外部时钟,而且可以利用芯片上的两个锁相环路自动将远程射频单元同步到负责基带处理工作的基站。当作为REC 端

时,需要由时钟芯片产生30.72M Hz 的时钟给SCAN25100当参考时钟。具体应用时,可以使用芯片

的配置引脚进行芯片工作模式配置,也可以用MDIO 接口对芯片内部寄存器进行编程,达到配置芯片的目的。

此外,该芯片还提供了延迟校准测量功能,通过读内部相应的寄存器值,再进行简单的换算后,就可以得到数据的传输延迟,其准确度达到±800p s 。SCAN25100很好地满足CPRI 物理层的功能,性能稳

定,省去了8B/10B 编解码和接收端的时钟恢复,减轻FP GA 开发压力。2.2.3 光纤传输模块

由于CPRI 光口的传输速率有614.4Mb/s ,

2

3嵌入式技术陈岳林等:数字直放站中CPRI 协议的FP GA 实现

1228.8Mb/s 和2457.6Mb/s 三种,所以光纤模块应

该选用多模光纤模块,可以满足3种速率的不同选择[7]。实现多块单板之间的通信,形成链状和星型混全组网,提高整个数字直放站系统的覆盖范围。2.2.4 时钟管理模块

对于数字直放站系统,需要用到好几个低抖动、低相位噪声时钟,如SCAN25100,FP GA 等,而且电平有L VDS ,L V PECL ,CMOS 等。时钟是整个系统的核心,

其性能直接影响整个系统的工作。

AD9516是一款将低相位噪声时钟发生和小于1p s 低抖动14通道时钟分配功能集成在一起的时钟集成电路。内部集成了1个整数n 分频的频率合成器、2个参考输入端、1个压控振荡器(VCO )、可编程驱动器、可调延迟线和14个时钟驱动器,包括L V PECL ,LVDS 和CMOS 三种电平模式输出[8]。由于片内集成了VCO ,省去了外部振荡器,同时也提高了系统设计的稳定性。3种电平模式时钟输出,丰富了接口方式,给系统设计提供了便利,因此,该系统中采用ADI 的时钟芯片AD9516。2.2.5 系统配置及监控

利用单片机对时钟芯片等进行初始化配置,与FP GA 进行通信,实现相应的系统监控功能。2.3 电路接口设计和PCB 布板问题2.3.1 接口电平

SCAN25100的串口输出是CML 差分电平模式,

而光纤模块的接口电平是L V PECL 差分电平模式,为了实现稳定可靠工作,需要进行接口电平转换,其接口转换如图5所示[5]

图5 CML 与L V PECL 电平转换

在该系统中,当CML 差分输出时,芯片已经在差

分输出加了电阻,而光纤模块的L V PECL 电平中已经有隔直电容,所以在处理两者之间的接口电平时显得十分简单,可以把两者直接相连就可以解决接口电平匹配问题。

2.3.2 PCB 布板

由于是GHz 级的设计,对PCB 设计的要求较高,

对高速差分布线,特别是光模块和SCAN25100接口走线(图4中的DOU T 和RIN ),应该尽量短且不同层布线,减少收发之间的串扰,增加回流过孔减少其他信号耦合,设计好高速差分走线的阻抗匹配,保证高速串行

信号的完整性。3 软件实现3.1 单片机[9]

实现SPI 通信协议,完成对时钟芯片、A/D 与D/A 的初始化配置,使得时钟芯片提供多路时钟分别给FP GA ,SCAN25100,A/D 与D/A 。实现I 2C 通信协

议,完成单片机与FP GA 之间的通信,从而对数字中频

进行设置及监控。实现485总线对整个系统进行监控。3.2 FP GA

主要是完成CPRI 的链路层协议。主要分为3个模块,发送、接收、CPRI 启动过程模块。其结构如图6所示,FP GA 内部实现CPRI 的成解帧和数字上下变频(DDC 及DUC ,这里不在讨论),发送模块负责把数字下变频数据通过CPRI 帧发送给SCAN25100,接收模块则把接收的CPRI 帧提取出数字上变频需要的数据。只有当启动模块完成后,输出1个控制信号,数字中频和CPRI 之间才能互相传递数据

图6 FP GA 内部功能模块结构

3.2.1 发送模块

按照CPRI 基本帧和超帧结构,把DDC (数字下变频)的IQ 数据信号与相应的控制信号成帧后发送给SCAN25100,SCAN25100再进行8B/10B 编码,从光模块发送出去。如图7所示,TXM GR 模块产生IQ 数据和控制数据的使能信号;TXCTRL 模块则对CPRI 超帧的控制时隙进行状态转换,产生不同的控制信号,从而从FIFO 中选择读出不同的控制信号;TXDDR 模块则是把数据通过时钟双边沿进行发送

图7 发送模块

3.2.2 接收模块

将从SCAN25100接收到的数据进行同步头(K28.5)检测,进行CPRI 解帧工作,把控制信号和IQ 数据信号分解出来,IQ 数据信号送到DUC (数字上变频)。如图8所示,RXDDR 模块则是通过时钟双边沿

3

3《现代电子技术》2009年第4期总第291期

计算机应用技术

接收数据;RXM GR 模块通过检测帧头产生IQ 数据和控制数据的使能信号;RXCTRL 模块则对CPRI 超帧的控制时隙进行状态转换,产生不同的控制信号,从而把接收到不同的控制信号写入FIFO ,提供给其他模块

图8 接收模块

3.2.3 CPRI 启动过程模块

CPRI 规范中的启动过程,此过程是相当重要的,

需要软件和硬件共同完成。协商确定物理层的协议、慢速通道(HDL C )和快速通道(以太网)的传输速率,完成REC 和RE 之间的链路建立,从而进行正常的通信过程。在设计过程中,理清各个状态的作用,利用状态机实现启动过程,整个流程如图9所示

图9 CPRI 启动过程

4 实际测试结果4.1 自环测试

在实验室常温环境下进行实际测试,采用Chip s 2cope 抓取发送和接收的数据进行比较的方法。也就是

说,产生一段测试数据,按CPRI 帧格式发送给SCAN25100,通过光模块自环回来,如图3所示,然后用Chip scope 抓取接收回来的数据,与发送的数据进行对比,确认链路是正常通信。

经过反复测试,该系统已经能正常稳定通信,如图10所示。发送数据(Txdata )帧头为0xBC50,发送时刻为2172,随后的发送数据的高8位和低8位分别以2累加。接收数据(RxData )在2193时刻接收到帧头0xBC50,随后接收到的数据也是高8位和低8位分别以2累加,而且跟发送的数据是一样的。4.2 联合测试

CPRI 模块的成解帧都是在FP GA 内部完成的,而数字中频模块(DDC ,DUC )也全部在FP GA 里面完成

设计,如图6所示。两部分的接口显得非常简单,只需要把两部分代码整合成一个工程就行。同样,在实验室常温环境下进行测试,整个系统能正常稳定的工作。5 结 语

CPRI 是规范基站内部REC 和RE 之间的接口标准

协议。该设计把CPRI 的两层协议分开设计实现,FPG A 实现CPRI 链路层,设计灵活。芯片SCAN25100实现物理层,由于芯片具备自动同步及准确校准时延等功能,方便后续设计分布式远程射频基站系统。通过对电路板的

实际测试,调整电路设计及PC B 部分布线设计,

不断地

图10 测试结果

调整优化设计,CPRI 模块正常稳定工作,该模块已经

和其他模块(DDC ,DUC 等)通过了联合测试。

参 考 文 献

[1]Anon.CPRI Specification V2.0[EB/OL ].http ://www.

https://www.doczj.com/doc/9017477067.html,/,2007.

[2]钟显成,王宏伟.CPRI 协议分析仪的硬件开发与实现[J ].

世界电子元器件,2007(6):56257,60.

[3]任姝婕,吴泽民.3G 数字直放站传输接口标准的分析[J ].

现代电子技术,2005,28(23):729,13.

[4]王彦,倪琰,蒋伟,等.3G 数字基站射频拉远CPRI 规范的实

现[J ].移动通信,2007,31(2):1052109.

[5]Anon.NSC_CPRI_Framer_Design_Doc_v1.0[EB/OL ].

http ://https://www.doczj.com/doc/9017477067.html, ,2007.

[6]Anon.SCAN25100Datasheet [EB/OL ].http ://www.

https://www.doczj.com/doc/9017477067.html, ,2007.

(下转第38页) 

嵌入式技术陈岳林等:数字直放站中CPRI 协议的FP GA 实现

2.2 单片机数据处理

单片机数据处理环节的硬件部分包括A/D转换和数据处理两个部分。对于这里所使用的MSP43014X 系列的单片机,虽然其内部集成了12位A/D转换器,但是由于需要多个通道同时转换三相电压、电流信号,因此要另外选取单独的A/D转换芯片,在这里选择MAX125芯片,该芯片是一个具有2×4通道同时采样、14位数据采集系统。在A/D转换过程中,首先采集A相电压、电流,B相电压、电流这4路信号;转换结束后,单片机读取4路采样值然后再选择C相电压、电流进行采样。其核心部分单片机采用德州仪器公司(TI)的MSP430系列超低功耗微控制器。该芯片具有1个16位CPU、16位的寄存器以及常数发生器,能够最大限度地提高代码效率。为了使整个检测装置能够快速实时达到检测性能,单片机外接2块通过译码器扩展的64K B的数据存储器和1块32K B的EPROM片外程序存储器。为了使该检测仪能够同时检测三相电路的谐波信号,在硬件部分A/D转换部分要设置1个三选一的开关,利用软件系统控制每次采集并转换的某一相位。外设与显示设备的设计这里不再详述。

3 系统软件总体介绍

软件设计主要采用C语言编程。在程序编程中,由于MSP430无法直接进行复数运算,必须把复数分解成实部与虚部的和,然后分别进行计算,因此需要先将正弦表在程序中计算形成,以便程序在采样之后读取进行运算。另外在程序运行后,需通过外设输入相应谐波次数。系统总体软件结构流程图如图4所示。

4 结 语

这里所提出的谐波检测系统,利用MSP430系列单片机组成的相关采集与运算电路,对电弧炉负载的电网电流谐波进行实时的检测并显示,有助于对电弧炉系统进行分析与控制和开展电力系统谐波抑制的研究。相比于DSP芯片,MSP430系列单片机更具有低功耗,低成本等优点,适用于便携设备的设计

图4 系统软件流程

参 考 文 献

[1]林海雪.电能质量国家标准讲座(3):公用电网谐波标准

[J].大众用电,2005(2):12222.

[2]胡广书.数字信号处理[M].北京:清华大学出版社,2003.

[3]郭文彬.嵌入式电网参数监测系统的研制[D].镇江:江苏大

学,2006.

[4]周国伟,石新春,工良清.基于单片机的滤波检测触发电路

设计[J].电气传动自动化,2006,28(4):39241.

[5]毕莹,刘小河.基于单片机的谐波检测仪的设计[J].现代电

子技术,2008,31(6):1612164.

[6]沈建华,杨艳琴.MSP430系列16位超低功耗单片机原理与

实践[M].北京:北京航空航天大学出版社,2008.

[7]杨润贤,郑恩让.基于嵌入式和DSP的电力谐波分析仪的设

计[J].计算机测量与控制,2006,14(10):138021383. [8]郝坷.电力系统谐波检测与治理[J].中国测试技术,2005,

31(6):64267.

[9]黄方能,吴玉燕.FF T谐波检测存在的问题[J].广西电力,

2005,28(4):39241.

[10]薛蕙,杨仁刚.基于FFT的高精度谐波检测算法[J].中国

电机工程学报,2002,22(12):1062108.

[11]李红,杨善水.傅里叶电力系统谐波检测方法综述[J].现

代电力,2004,21(4):39244.

作者简介 胡 潇 1983年出生,硕士研究生。研究方向为电弧炉系统谐波检测。

刘小河 教授,博士生导师。研究方向为供电系统的非线性问题、非线性系统分析与控制、自适应控制等。

(上接第34页)

[7]董诗波.WCDMA光纤直放站射频光收发模块的研究与设

计[D].武汉:武汉理工大学,2005.

[8]Anon.AD9516datasheet[E B/OL].https://www.doczj.com/doc/9017477067.html,/

static/imported2files/data_sheets/AD9516_2.pdf,2007.[9]Anon.A Tmega16L Datasheet[EB/OL]http://down.ic2

https://www.doczj.com/doc/9017477067.html,/uploadsoft/ic/A Tmega16_cn.pdf,2007.

[10]Anon.Introduction to L VDS,PECL and CML[EB/OL].

https://www.doczj.com/doc/9017477067.html,/appnotes10.cfm/ac_pk/ 11,2007.

作者简介 陈岳林 男,1983年出生,厦门大学硕士研究生。主要研究方向为无线通信技术。

石江宏 男,1968年出生,厦门大学副教授。主要研究方向为无线通信技术。

嵌入式技术胡 潇等:电弧炉谐波检测仪的设计研究

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

基于FPGA的数字钟设计

摘要 伴随着集成电路技术的发展, 电子设计自动化(EDA)技术逐渐成为数字电路设计的重要手段。基于FPGA的EDA技术的发展和应用领域的扩大与深入,使得EDA技术在电子信息,通信,自动控制,计算机等领域的重要性日益突出。 本设计给出了一种基于FPGA的多功能数字钟方法,采用EDA作为开发工具,VHDL语言和图形输入为硬件描述语言,QuartusII作为运行程序的平台,编写的程序经过调试运行,波形仿真验证,下载到EDA实验箱的FPGA芯片,实现了设计目标。 系统主芯片采用CycloneII系列EP2C35F672C8。采用自顶向下的设计思想,将系统分为五个模块:分频模块、计时模块、报时模块、显示模块、顶层模块。用VHDL语言实现各个功能模块, 图形输入法生成顶层模块. 最后用QuartusII 软件进行功能仿真, 验证数字钟设计的正确性。 测试结果表明本设计实现了一个多功能的数字钟功能,具有时、分、秒计时显示功能,以24小时循环计时;具有校正小时和分钟的功能;以及清零,整点报时功能。 关键词:EDA技术;FPGA;数字钟;VHDL语言;自顶向下

Abstract Accompanied by the development of integrated circuit technology, electro nic design automation (EDA) technology is becoming an important means of digital circuit design. FPGA EDA technology development and expansion of a pplication fields and in-depth, the importance of EDA technology in the field of electronic information, communication, automatic control, computer, etc. hav e become increasingly prominent. This design gives a FPGA-based multifunctional digital clock using ED A as a development tool, VHDL language and graphical input hardware descri ption language, the QuartusII as a platform for running the program, written procedures debugging and running, the waveform simulation downloaded to th e FPGA chip to achieve the design goals. The main system chip CycloneII series EP2C35F672C8. Adopted a topdw n design ideas, the system is divided into five modules: frequency module, ti ming module, timer module, display module, the top-level module. With VHD L various functional modules, graphical input method to generate the top-level module. Last QuartusII under simulation, to verify the correctness of the digi tal clock design. The test results show that the design of a multifunctional digital clock, with seconds time display, 24-hour cycle timing; has a school, cleared, and th e whole point timekeeping functions. Key words: EDA technology; FPGA; VHDL language; top-down; digital cloc k

FPGA数字跑表课程设计

摘要 本设课程设计是基于FPGA的数字跑表的设计,利用Verilog HDL 语言和Quartus II软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言Verilog HDL 来描述数字跑表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景, Verilog HDL 语言特点,应用平台FPGA,之后阐述了数字跑表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用Verilog HDL 语言设计数字跑表电路,着重对各个模块进行了详细的分析和说明。 【关键词】Verilog HDL 语言;Quartus II 软件;数字秒表

目录 1 绪论 (2) 1.1 EDA的现状和发展及FPGA简介 (2) 1.2 Verilog HDL语言及QuartusⅡ软件简介 (2) 1.3 基于FPGA实现数字跑表运行的方案设计基本原则 (3) 1.4 论文主要完成的工作 (3) 2 系统的硬件设计 (4) 2.1数字跑表概述 (4) 2.2整体方案设计和功能分割 (4) 2.3各功能模块的设计和实现 (6) 2.4 控制系统的实现 (8) 3 系统的软件设计 (8) 3.1 软件整体设计 (8) 3.2主要模块软件设计(主要模块流程图和仿真波形图) (9) 4 总结 (12) 参考文献 (13)

1.绪论 1.1 EDA简介及FPGA简介 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20 世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。而电子设计自动化(EDA)的实现是与 CPLD/FPGA技术的迅速发展息息相关的,利用PLD/FPGA,电子系统设计工程师可以在实验室中设计出专用IC,实现了系统的集成。此外,CPLD/FPGA还具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。随着计数的进步,自动化设计工具(从CAD到EDA及ESDA:Electronic System Design Automation)已成为电子信息设计人员所必需熟悉和掌握的一门技术。 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。自1985年Xilinx公司推出第一片现场可编程逻辑器件至今,FPGA已经历了十几年的历史。在这十几年的发展过程中,以FPGA为代表的数字系统现场集成取得了惊人的发展:现场可编程逻辑器件从最初的1200个可利用门,发展到数百万门的单片FPGA芯片,将现场可编程器件的集成度提高到一个新的水平。它之所以具有巨大的市场吸引力,根本在于:FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场,特别是对小批量、多品种的产品需求,使FPGA成为首选。目前,FPGA的主要发展动向是:随着大规模现场可编程逻辑器件的发展,系统设计进入"片上可编程系统"(SOPC)的新纪元;芯片朝着高密度、低压、低功耗方向挺进;国际各大公司都在积极扩充其IP库,以优化的资源更好的满足用户的需求,扩大市场;特别是引人注目的所谓FPGA动态可重构技术的开拓,将推动数字系统设计观念的巨大转变。

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

FPGA数字跑表

电子信息工程专业 FPGA与ASIC设计实践教程 设计报告 班级:电子信息工程1303班 学号:201315110 姓名: 田佳鑫 日期:2015年11月4日 指导老师:何英昊

目录 1系统总体方案及硬件设计 (3) 1.1设计内容 (3) 1.2 设计要求 (3) 1.3 实现要求 (3) 2各模块设计及电路图 (3) 2.1设计项目简介 (3) 2.2分块设计代码 (4) 2.3总体框图设计 (7) 2.4管脚锁定图 (8) 3课程设计体会 (8)

1系统总体方案及硬件设计 1.1 设计内容 数字跑表电路 1.2设计要求 (1)跑表的计时范围为0.01—59min59.99s。 (2)具有异步清零、启动。计时和暂停功能。 (3)输入时钟频率为100Hz。 (4)要求数字跑表的输出能够直接驱动共阴极7段数码管。 1.3 实现要求 (1)分析功能要求,划分功能模块。 (2)编写各模块的Verilog HDL语言设计程序。 (3)在QuartusⅡ软件或其他EDA软件上完成设计和仿真。 (4)根据实验装置上的CPLD/FPGA芯片,在适配时选择相应的芯片,将设计生成配置文件或JEDEC文件,然后将配置文件或JEDEC文件下载到实验装置上运行,操作实验装置上设定的功能开关,验证设计功能。 2各模块设计及电路图 2.1 设计项目简介 主控模块分别连接6个数码管显示模块和分频模块,分频模块给主控模块的计数器提供时钟源,主控模块在按键的控制下,在其中计数器的作用下输出给数码管显示装置,实现跑表功能。 振荡器 控制按键分频器计 数 器 显 示

2.2分块设计代码 (1)分频模块: module fenpin(CLK,CLK2); //输入50MHz,输出分频到1Hz input CLK; output CLK2; reg CLK2; reg[31:0] counter2; parameter N2=5000000; always@(posedge CLK) begin if(counter2==250000) begin counter2<=0; CLK2<=~CLK2; end else counter2<=counter2+1; end endmodule (2)控制模块: module sz(clk,clr,pause,msh,msl,sh,sl,mh,ml); inputclk,clr; input pause; output[3:0]msh,msl,sh,sl,mh,ml; reg[3:0]msh,msl,sh,sl,mh,ml; reg cn1,cn2; always@(posedgeclk or posedgeclr) begin if(clr) begin {msh,msl}<=8'h00; cn1<=0; end else if(!pause) begin

数字跑表设计说明

西南科技大学 设计报告 课程名称:基于FPGA的现代数字系统设计设计名称:基于原理图的数字跑表设计 姓名: 学号: 班级: 指导教师:

西南科技大学信息工程学院

一、实验目的 1、设计一个数字跑表,具有复位、暂停、秒表等功能 二、实验原理 1.完成一个具有数显输出的数字跑表计数器设计,原理图如下图所示。 、 数字跑表计数器原理图

任务分析: 输入端口: 1)复位信号CLR,当CLR=1,输出全部置0,当CLR=0,系统正常工作。 2)暂停信号PAUSE,当PAUSE=1,暂停计数,当PAUSE=0,正常计数。3)系统时钟CLK,CLK=50MHz 输出端口: 数码管驱动----DATA1,位宽14位,其中,DATA1[7:0]是数码管显示值,DATA1[14:8]是数码管控制端口

屏蔽未用端口---ctr,位宽是2,将未用的两个数码管显示关闭 (1)跑表的计时范围为0.01s~59min59.99s,计时精度为10ms; (2)具有异步复位清零、启动、计时和暂停功能; (3)输入时钟频率为100Hz; (4)要求数字跑表的输出能够直接驱动共阴极7段数码管显示. 按照自顶向下设计,应该分为以下模块: 分频----将下载板上50MHz时钟分频为周期是0.01秒的时钟,提供给百分计数 计数1----百分计数,输入周期是0.01秒的时钟,计数,满100进位,注意个位,十位的不同生成 计数2---60进制计数器,输入百分位,或者秒位的进位,计数,满60向高位进位,注意个位,十位的不同生成 数码管显示控制----驱动数码管数据,显示控制端口。 三、实验步骤 1、数码管显示驱动模块的设计 (1)建立工程:file->New Project,并注意器件、EDA工具的正确选择 (2)建立新Verilog HDL模块编辑窗口,选择资源类型为Verilog Module,并输入合法文件名,在文本编辑窗口输入代码。 (3)执行综合得到综合后的电路,并进行功能时序仿真。 2.计数器模块的设计 设计步骤同数码管的设计,并完成模块的设计输入、综合、功能仿真。 3.数码管和计数器组合为一个系统 用Verilog HDL将数码管和计数器组合为一个模块,完成综合、功能仿真,分析波形,修正设计。

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

基于FPGA的Verilog HDL数字钟设计 -

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 ?功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接 8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; ?设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。

课程设计 多功能秒表报告

武夷学院 课程设计(论文)基于单片机的多功能秒表设计 院系:电子工程系 专业(班级):09电信(一)班 姓名:鞠建龙 学号: 20094081009 指导教师:邵海龙 职称:助教 完成日期: 2011 年 12 月 1 日 武夷学院教务处制

摘要 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的多功能电子秒表设计。本设计主要特点是具有倒计时功能,还可以按圈计时,而且误差在0.01,,是各种体育竞赛的必备设备之一,另外硬件部分设置了查看按键。 本设计的数字电子秒表系统采用AT89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现8位LED显示,显示时间24小时内,计时精度为0.01秒,能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务延时程序等,并在KEIL中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机;数字电子秒表;仿真

Abstract With the rapid development of science and technology in recent years, SCM applications are constant-depth manner. In this paper, based on single chip design of digital electronic stopwatch. The main characteristics of this design timing accuracy of 0.01s, to solve the traditional result of a lack accuracy due to timing errors and unfair, and is a variety of sports competitions, one of the essential equipment. In addition the hardware part of the set View button on the stopwatch can be the last time to save time for user queries. The design of the multi-function stopwatch system uses STC89C52 microcontroller as the central device, and use its timer / counter timing and the count principles, combined with display circuit, LED digital tube, as well as the external interrupt circuit to design a timer. The software and hardware together organically, allowing the system to achieve two LED display shows the time within 24 hours, Timing accuracy of 0.01 seconds, Be able to correctly time at the same time to record a time, and the next time after the last time the time to search.automatically added a second in which software systems using assembly language programming, including the display program, timing, interrupt service, external interrupt service routine, delay procedures, key consumer shaking procedures, and WAVE in the commissioning, operation, hardware system uses to achieve PROTEUS powerful, simple and easy to observe the cut in the simulation can be observed on the actual working condition. Keyword:LED display;High-precision stopwatch;STC89C52

Verilog HDL数字时钟课程设计

课程设计报告 课程设计名称:EDA课程设计课程名称:数字时钟 二级学院:信息工程学院 专业:通信工程 班级:12通信1班 学号:1200304126 姓名:@#$% 成绩: 指导老师:方振汉 年月日

目录 第一部分 EDA技术的仿真 (3) 1奇偶校验器 (3) 1.1奇偶校验器的基本要求 (3) 1.2奇偶校验器的原理 (3) 1.3奇偶校验器的源代码及其仿真波形 (3) 28选1数据选择器 (4) 2.18选1数据选择器的基本要求 (4) 2.28选1数据选择器的原理 (4) 2.38选1数据选择器的源代码及其仿真波形 (5) 34位数值比较器 (6) 3.14位数值比较器的基本要求 (6) 3.24位数值比较器的原理 (6) 3.34位数值比较器的源代码及其仿真波形 (7) 第二部分 EDA技术的综合设计与仿真(数字时钟) (8) 1概述 (8) 2数字时钟的基本要求 (9) 3数字时钟的设计思路 (9) 3.1数字时钟的理论原理 (9) 3.2数字时钟的原理框图 (10) 4模块各功能的设计 (10) 4.1分频模块 (10) 4.2计数模块(分秒/小时) (11) 4.3数码管及显示模块 (13) 5系统仿真设计及波形图........................... 错误!未定义书签。5 5.1芯片引脚图.................................... 错误!未定义书签。5 5.2数字时钟仿真及验证结果 (16) 5.3数字时钟完整主程序 (17) 6课程设计小结 (23) 7心得与体会 (23) 参考文献 (24)

基于FPGA的数字钟设计

南昌大学实验报告 学生姓名:邓儒超学号:6100210045 专业班级:卓越通信101 实验类型:□验证□综合□√设计□创新实验日期:2012.10.28 实验成绩: 实验三数字钟设计 一、实验目的 (1)掌握数字钟的设计 二、实验内容与要求 (1)设计一个数字钟,要求具有调时功能和24/12进制转换功能 (2)进行波形仿真,并分析仿真波形图; (3)下载测试是否正确; 三、设计思路/原理图 本次数字钟的设计采用了自顶向下分模块的设计。底层是实现各功能的模块,各模块由vhdl语言编程实现:顶层采用原理图形式调用。其中底层模块包括秒、分、时三个计数器模块、按键去抖动模块、按键控制模块、时钟分频模块、数码管显示模块,其中,时计数器模块又包括24进制计数模块、12进制计数模块、24/12进制转换模块。设计框图如下: 由图可以清晰的看到数字钟系统设计中各功能模块间连接关系。系统时钟1KHZ经过分频后产生1秒的时钟信号,1秒的时钟信号作为秒计数模块的输入信号,秒计数模块产生的进位信号作为分计数模块的输入信号,分计数模块的进位信号作为时计数模块的输入信号。秒计数模块、分计数模块、时计数模块的计数输出分别送到显示模块。由于设计中要使用按键进行调节时间,而按键的动作过程中存在产生得脉冲的不稳定问题,所以就牵扯到按键去抖动的问题,对此系统中设置了按键去抖动模块,按键去抖动模块产生稳定的脉冲信号送入按键控制模块,按键控制模块根据按键的动作对秒、分、时进行调节。 原理图如下:

四、实验程序(程序来源:参考实验室里的和百度文库的稍加改动,还有自己写的) 1、分频模块 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin IS PORT(CLK:IN STD_LOGIC; CLK1:OUT STD_LOGIC); END fenpin; ARCHITECTURE behav OF fenpin IS SIGNAL X,CNT:STD_LOGIC_VECTOR(11 DOWNTO 0); BEGIN P1:PROCESS(CLK) BEGIN X<="001111101000";--1000分频 IF CLK'EVENT AND CLK = '1' THEN CNT<=CNT+1; IF CNT=X-1 THEN CLK1<='1';CNT<="000000000000"; ELSE CLK1<='0'; END IF; END IF; END PROCESS; END behav; 2、60进制计数器(秒、分计数器)模块 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY count60 IS PORT(EN,RST,CLK1: IN STD_LOGIC; Q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); COUT: OUT STD_LOGIC); END count60;

数字跑表

清华大学 信息工程学院 课程设计报告书题目: 数字跑表 课程:数字系统设计 专业:电子信息科学与技术 班级: 学号: 学生姓名: 指导教师:

2014年 6月 20日 目录 1简介 (4) 1.1任务的提出 (4) 1.2方案论证 (4) 2总体设计 (5) 2.1整体方案设计和功能分割 (5) 2.2计时电路 (5) 3详细设计及仿真 (7) 3.1各功能模块的设计和实现 (7) 3.1.1控制模块 (7) 3.1.2计时器模块 (8) 3.1.3时基分频器模块 (9) 3.2软件设计 (10) 4总结 (11) 5总程序 (12) 参考文献 (15)

摘要 本设课程设计是基于FPGA的数字跑表的设计,利用VerilogHDL语言和QuartusII软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言VerilogHDL来描述数字跑表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景VerilogHDL语言特点,应用平台FPGA,之后阐述了数字跑表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用VerilogHDL语言设计数字跑表电路,着重对各个模块进行了详细的分析和说明。 关键词:Verilog、HDL语言、QuartusII软件、数字秒表

1简介 1.1任务的提出 V erilog HDL语言是1983年GDA公司的Phil Moorby首创的,之后Moorby有设计了Verilog-XL仿真器获得很大成功,也使得Verilog HDL语言得到发展和推广。Verilog HDL 语言是在C语言的基础上发展而来的。从语法结构上看,Verilog HDL继承和借鉴了C语言的很多语法,两者有许多的相似之处,但Verilog HDL作为一种硬件描述语言,还是有本质的区别。即可适于可综合的电路设计,也可胜任电路和系统的仿真;能在多层次上对所设计的系统加以描述,从开关级、门级,寄存器传输级到行为级等都可以担任,而且没规模限制;灵活多变的电路描述风格,可进行行为描述,也可进行结构描述等;应用十分的广泛。QuartusⅡ软件是Atlera的CPLD/FPGA集成开发软件,具有完善的可视化设计环境,并具有标准的EDA工具接口,基于QuartusⅡ进行EDA设计开发需要以下步骤:设计输入、编译、仿真、编程与验证等。 根据设计要求,首先对数字跑表进行结构和功能的划分。计数器部分设三个输入端,分别为时钟输入(CLK),复位(CLR)和启动/暂停(PAUSE)按键。复位信号高电平有效,可对跑表异步清零;当启动/暂停键为低电平时,跑表开始计时,高电平时暂停,变低后在原来的数值基础上继续计数。然后计数器的每个位的值赋给六选一数据选择器,数据选择器选择的位值再赋给七段数码管译码器,这样就可以显示数字了。 1.2方案论证 本字跑表首先要从最低位的百分秒计数器开始,按照系统时钟进行计数。计数至100后向秒计数器仅为,秒计数器一百分秒计数器的进位位为时钟进行计数。计数至60后向分计数器进位,分计数器以秒计数器的进位位为时钟进行计数。 数字跑表巧妙地运用进位位作为时钟来减少计数的位数。如果统一使用系统时钟作为计数时钟,那秒计数器将是一个6000进制的计数器,额分计数器将是一个3600000进制的计数器。这将极大的浪费FPGA的逻辑资源。而使用进位位作为计数时钟,只需要一个100进制的计数器和两个60进制的计数器。 在实际的设计中,为了是计数器更加简单,计数器使用高低位两个计时器来实现。100进制计数器分别是最高位10进制计数器,地位10进制计数器,60进制计数器分别是高6进制计数器,低位10进制计数器。这样整个数字跑表使用6个计数器来实现。 同时由于10进制计数器重复使用了5次,可以使用独立的模块实现十进制计数器,这样就可以通过模块复用来节省整个模块使用的资源。 数字跑表提供了清零为CLR和暂停位PAUSE,百分秒的时钟信号可以通过系统时钟分频提供。分频至1/100s,即可实现真实的时间计数。详细的时钟分频设计渎职可以参考相关的资料实现。 代码中端口信号的定义: CLK:时钟信号 CLR:异步复位信号 PAUSE:暂停信号 MSH、MSL:百分秒的高位和低位 SH、SL:秒信号的高位和低位

数字电路EDA课程设计数字跑表

EDA课程设计报告书 题目:数字跑表 姓名: 班级: 学号: 成绩: 一、设计题目及要求

设计题目:数字跑表 要求:1 具有暂停,启动功能。 2 具有重新开始功能。 3 用六个数码管分别显示百分秒,秒和分钟。 二、设计过程及内容 拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。 根据课程设计要求将设计分为5个模块: 1、控制模块,使跑表具有启动、暂停及重新开始的功能; 2、分频模块,用于得到频率为100HZ的时钟脉冲; 3、计时模块,进行时间的计时,同时将当前时间输出给选时模块; 4、选时模块,从计时器得到当前时间输出给显示模块; 5、显示模块,进行时间的显示。 总图如下:

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。 第二个模块:分频器模块 将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。

FPGA课程设计多功能数字钟讲解

多功能数字钟 开课学期:2014—2015 学年第二学期课程名称:FPGA课程设计 学院:信息科学与工程学院 专业:集成电路设计与集成系统班级: 学号: 姓名: 任课教师: 2015 年7 月21 日

说明 一、论文书写要求与说明 1.严格按照模板进行书写。自己可以自行修改标题的题目 2.关于字体: a)题目:三号黑体加粗。 b)正文:小四号宋体,行距为1.25倍。 3.严禁抄袭和雷同,一经发现,成绩即判定为不及格!!! 二、设计提交说明 1.设计需要提交“电子稿”和“打印稿”; 2.“打印稿”包括封面、说明(即本页内容)、设计内容三部分;订书机左边装订。 3.“电子稿”上交:文件名为“FPGA课程设计报告-班级-学号-姓名.doc”,所有报告发送给班长,由班长统一打包后统一发送到付小倩老师。 4.“打印稿”由班长收齐后交到:12教305办公室; 5.上交截止日期:2015年7月31日17:00之前。

第一章绪论 (3) 关键词:FPGA,数字钟 (3) 第二章FPGA的相关介绍 (4) 2.1 FPGA概述 (4) 2.2 FPGA特点 (4) 2.3 FPGA设计注意 (5) 第三章Quartus II与Verilog HDL相关介绍 (7) 3.1 Quartus II (7) 3.2 Verilog HDL (7) 第四章设计方案 (8) 4.1数字钟的工作原理 (8) 4.2 按键消抖 (8) 4.3时钟复位 (8) 4.4时钟校时 (8) 4.5数码管显示模块。 (8) 第五章方案实现与验证 (9) 5.1产生秒脉冲 (9) 5.2秒个位进位 (9) 5.3按键消抖 (9) 5.4复位按键设置 (10) 5.5 数码管显示。 (10) 5.6 RTL结构总图 (11) 第六章实验总结 (14) 第七章Verilog HDL源代码附录 (15)

基于FPGA的多功能数字钟的设计

基于FPGA的多功能数字钟的设计 摘要数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,是人们日常生活中不可少的必需品。本文介绍了应用FPGA芯片设计多功能数字钟的一种方案,并讨讨论了有关使用FPGA芯片和VHDL语言实现数字钟设计的技术问题。关键词数字钟、分频器、译码器、计数器、校时电路、报时电路。 Design of Abstract Keywords

目录 0.引言 (4) 1.设计要求说明 (4) 1.1设计要求 (4) 1.2完成情况说明 (4) 2.多功能数字钟的基本原理及其在FPGA中的设计与实现 (4) 2.1计时电路 (5) 2.2异步清零电路 (5) 2.3校时、校分功能电路 (5) 2.4报时电路 (6) 2.5分频电路 (7) 2.6闹钟及音乐闹铃电路 (9) 2.7秒表计时电路 (15) 2.8译码显示电路 (15) 2.9逻辑总图 (16) 3.设计感想 (17) 参考文献 (17)

0.引言 数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远超过老式钟表。钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.设计要求说明 1.1设计要求 1)设计一个具有校时、校分、清零,保持和整点报时功能的数字钟。 2)多数字钟采用层次化的方法进行设计,要求设计层次清晰、合理;构成整个设计的功能模块既可采用原理图方法实现,也可采用文本输入法实 现。 3)数字钟的具体设计要求具有如下功能: ①数字钟的最大计时显示23小时59分59秒; ②在数字钟正常工作时可以进行快速校时和校分,即拨动开关K1可对小 时进行校正,拨动开关K2可对分钟进行校正; ③在数字钟正常工作情况下,可以对其进行不断电复位,即拨动开关K3 可以使时、分、秒回零; ④整点报时是要求数字钟在每小时整点来到前进行鸣叫,鸣叫频率是在 59分53秒、55秒、57秒时为500Hz,59分59秒时为1KHz; ⑤哟啊去所有开关具有去抖动功能。 4)对设计电路进行功能仿真。 5)将仿真通过的逻辑电路下载到EDA实验系统,对其进行验证。 1.2完成情况说明: 对于实验要求的基本功能我们设计的电路都能准确实现。另外,我们还附加了显示星期、秒表、闹钟时间来时播放音乐等功能。 2.多功能数字钟的基本原理及其在FPGA中的设计与实现 通过分析多功能数字钟的设计要求和所要实现的功能,应用层次化方法设计出数字钟应由计时模块、分频脉冲模块、译码显示模块、校时校分和清零模块、报时模块等几个模块组成,其原理框图如下图1所示:

相关主题
文本预览
相关文档 最新文档