当前位置:文档之家› 智力竞赛抢答器逻辑电路设计

智力竞赛抢答器逻辑电路设计

智力竞赛抢答器逻辑电路设计
智力竞赛抢答器逻辑电路设计

电路设智力竞赛抢答器逻辑计

1.1设计背景

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6 名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。

1.2设计任务与要求

1)设计一个至少可供6人进行的抢答器。

(2)系统设置复位按钮,按动后,重新开始抢答。

(3)抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。显示优先抢答者序号并且不出现其他抢答者的序号。

(4)抢答器具有定时抢答功能,且一次抢答的时间有主持人设定,本抢答器的时间设定为60秒,当主持人启动“开始”开关后,定时器开始减计时。当设定的时间到,而无人抢答时,本次抢答无效,报警发出声音,并禁止抢答。

(5)设定的抢答时间内,选手可以抢答,这时定时器停止工作,显示器上显示选手的号码和抢答时间。并保持到主持人按复位键。

2 总体设计方案

2.1方案选择

方案一

采用74LS148优先编码器分辨选手抢答的先后,并通过RS 锁存器74LS279锁存抢答者的编号,再经过74LS48芯片译码驱动共阴极数码管显示。

方案二

采用CC4067作为编码电路的核心元件,当有选手抢答时输出四位相应的8421BCD 码即可同时供16位选手抢答。经CC4511七段译码器译码后驱动共阴极数码管显示,同时利用CD4069组成一个多谐振荡器,作为十进制计数器CC4510的CP 脉冲使其计数并与D 触发器CD4013完成自动锁存的功能。

结论:与方案二相比,方案一使用的芯片少、电路简单且同样能实现其功能,故选用方案一。

2.2数字抢答器总体方框图

定时抢答器的总体框图如图1所示。其主要由主体电路和扩展电路两部分组成。主体部分完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号。同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时的抢答功能。

图 1 定时抢答器的总体框图 图1所示定时抢器的工作过程是:接通电源时,节目支抢答按钮 优先编码电路 锁存器 译码电路

显示电路

主持人

控制开关 控制电路

秒脉冲产

生电路 定时电路 译码电路 显示电路

路 扩展电路

持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时器显示设定的时间,当主持持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器倒计时,当定时时间到,却没有选手抢答时,输入电路被封锁,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成下面几个工作:

1.优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后有译码显示电路显示编号;

2.制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;

3.控制电路要使定时器停止工作,时间器上显示抢答时间,并保持到主持人将系统清零为止,以便进行下一轮抢答。

3 系统详细设计

3.1 抢答器电路

图2 抢答电路

参考电路如图所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于"清除"端时,RS触发器的端均为0,4个触发器输出置0,使74LS148的=0,使之处于工作状态。当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出经RS锁存后,1Q =1, =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为"5"。此外,1Q=1,使74LS148=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的此时由于仍为1Q=1,使=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置?quot;清除"然后再进行下一轮抢答。74LS148为8线-3线优先编码器,

3.2 定时电路

图3 定时电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟

脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如上图所示。

3.3报警电路

由555定时器和三极管构成的报警电路如图所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

图4 报警电路

3.4时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到"开始"位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

图5 抢答电路和定时电路停止工作

根据上面的功能要求,设计的时序控制电路如上图所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从"清除"位置拨到"开始"位置时,来自于图11、2中的74LS279的输出 1Q=0,经G3反相, A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则"定时到信号"为 1,门G2的输出 =0,使74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经G3反相, A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则"定时到信号"为0, =1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

3.5相关元器件的介绍

该设计用到较多集成电路电路芯片,在此给出其管脚图和部分功能介绍。

3.5.1 74LS279

74LS279 RS锁存器,当R=1,S=0时,输出为;当R=1S=1时,锁存器具有保持功能,将输出一直保持为1,达到锁存的目的。

表1 174LS279 锁存器功能

3.5.2 74LS148 下图为74LS148的功能介绍,ST 为使能端,控制芯片的工作;当无输入时,YEX=1,有输入时,YEX=0。其功能真值表如下:

表2 74LS148功能表

输入

输出 ST IN 0 IN 1 IN 2 IN 3 IN 4 IN 5 IN 6 IN 7 Y 2 Y 1 Y 0 YEX Ys 1 X X X X X X X X 1 1 1 1

1

0 1 1 1 1 1 1 1 1 1 1

输入 S 1 S 2 R 输出

Q

0 0 0 0 X 1 X 0 1 1 1 0 1 1

1 1 1

1

0 没改变

0 X X X X X X 0 0 0 0 0

1

0 X X X X X X 0 1 0 0 1 0

1

0 X X X X X 0 1 1 0 1 0 0

1

0 X X X X 0 1 1 1 0 1 1 0

1

0 X X X 0 1 1 1 1 1 0 0 0

1

0 X X 0 1 1 1 1 1 1 0 1 0

1

0 X 0 1 1 1 1 1 1 1 1 0 0

1

0 0 1 1 1 1 1 1 1 1 0

3.5.3 74LS48译码器

图6 74LS48译码器管脚

分布图

原理说明:

开关S 置于"清零"端时,RS 触发器的输入端R 均为0,4个触发器输出置0,使74LS279 中 BI/RBO =0,A 3A 2A 1A 0=0000,使之处于锁存状态。74LS279不工作,输出全为0。当开关S 置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS 锁存后,1Q=1,Y S =1,74LS48处于工作状态,4Q 3Q 2Q=101,即74LS279输入端A 3A 2A 1A 0=0101,经 74LS279后输出YgYfYeYdYcYbYa=1101101,经译码显示为"5"。

3.5.4 555 定时器

555定时器是一种多用途的数字/模拟混合集成电路,具有开关特性,可以构成各种单元脉冲电路

A 074LS48Y a A 1A 2LT I

B / Y BR 7123451234567816151413121110974LS48GND V C

C Y f Y g Y a Y b Y c Y d Y e

A 2LT (a )(b )A 3I BR 6A 1I

B / Y BR I BR A 3A 0Y b Y c Y d Y e Y f Y g

图7 555 定时器引脚图

4 系统仿真与测试

4 .1 Protues 的基本操作方法

元件选用:打开元件库栏,移动鼠标到需要的元件图形上,按下左键,将元件符号拖拽到工作区。

元件的移动、旋转、反转、复制和删除:用鼠标单击元件符号选定,用相应的菜单、工具栏,或单击右键激活弹出菜单,选定需要的动作。

元器件参数设置:双击元件,设定相应的参数。

导线的连接:要包括导线的连接、弯曲导线的调整、导线颜色的改变及连接点的使用。

连接:鼠标指向一元件的端点,出现小圆后,按下左键并拖拽导线到另一个元件的端点,出现小圆后松开鼠标左键。

删除和改动:选定该导线,单击鼠标右键,在弹出菜单中选delete 。或者用鼠标将导线的端点拖拽离开它与元件的连接点。

4.2仿真步骤

(1)根据自己所画好的电路图,在protues元器件库选择相应的元器件。

(2)用导线将元器件按图连接好。

(3)将元器件相应参数设置好。

(4)进行电路仿真,根据仿真修改相应的参数。

4.3仿真结果及分析

总体电路

图8 电路总体仿真图

抢答电路

图9 抢答电路仿真图定时器

图10 定时电路仿真图仿真开始

仿真倒计时

选手抢答,显示选手位置,定时器停止工作

图13 抢答定时

5 安装与调试

5.1 安装

5.1.1面包板的使用方法及注意事项

为了方便,选择用面包板来实现所设计的系统,面包板是专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。

安装分立元件时,为了便于看到其极性和标志,将元件引脚理直后,在需要的地方折弯。为了防止裸露的引线短路,必须使用带套管的导线,一般需剪断元件引脚,因为这样,元件才会插得稳且牢固,但是为了防止插错时要二次使用,故引脚不宜剪得过短。一般不要插入引脚直径〉0.8mm的元器件,以免破坏插座内部接触片的弹性。

对多次使用过的集成电路的引脚,必须修理整齐,引脚不能弯曲,所有的引脚应稍向外偏,这样能使引角与插孔可

靠接触。要根据电路图确定元器件在面包板上的排列方式,

目的是走线方便。为了能够正确布线并便于查线,所有集成电路的插入方向要保持一致,不能为了临时走线方便或缩短导线长度而把集成电路倒插。

根据信号流程的顺序,采用边安装边调试的方法。元器件安装之后,先连接电源线和地线。为了查线方便,连线尽量采用不同颜色。例如:正电源一般采用红色绝缘皮导线,而负电源及接地一般采用黑色绝缘皮导线。

5.2.1调试的方法

①不通电检查电路安装完毕后,不要急于通电,应首先认真检查接线是否正确,包括多线、少线、错线等,尤其是电源线不能接错或接反,以免通电后烧坏电路或元器件。查线的方式有两种:一种是按照设计电路接线图检查安装电路,在安装好的电路中按电路图一一对照检查连线;另一种方法是按实际线路,对照电路原理图按两个元件接线端之间的连线去向检查。无论哪种方法,在检查中都要对已经检查过的连线做标记,使用万用表检查连线很有帮助。

②直观检查:连线检查完毕后,直观检查电源,元器件接线端之间有无短路,连线处有无接触不良。

③通电检查:把经过准确测量的电源电压加入电路,电源接通之后不要急于测量数据和观察结果,首先要观察有无异常现象,包括有无冒烟、有无异常气味、触摸元件是否有发烫现象、电源是否短路等。如果出现异常,应立即切断电源,排除故障后可重新通电。

④分块调试:包括测试和调整两个方面。测试是在安装后对电路的参数及工作状态进行测量;调整则是在测试的基础上对电路的结构或参数进行修正,使之满足设计要求。为了使测试能够顺利进行,设计的电路图上应标出各点的电位值,以及其他参考数值。调试过程中我们不能单凭感觉和印象,要始终借助仪器观察,

5.2.2调试注意事项

①测试之前要熟悉各种仪器的使用方法,并仔细加以检

查,避免由于仪器使用不当或出现故障而作出错误判断。

②测试仪器和被测电路应具有良好的共地,只有使仪器和电路之间建立一个公共地参考点,测试的结果才是准确的。

③调试过程中,发现器件或接线有问题需要更换或修改时,应关断电源,待更换完毕认真检查后方可重新通电。

④调试过程中,不但要认真观察和检测,还要认真记录。包括记录观察的现象、测

量的数据间关系,必要时在记录中应附加说明,尤其是那些和设计不符合的现象更是要注意。

6收获与体会

这一次课程设计,它加深与巩固了我们所学的专业基础课程,例如数电和模电,并将其综合运用,提高了我们综合运用知识的能力还有就是将理论运用于实践,另一方面,培养了我们对专业知识学习的趣;同时也提高了我们分析问题的能力。

这次课程设计的设计流程我采用分块的设计顺序,优化了设计流程使之更符合逻辑性。但是需要注意的是,在其中每个环节必须认真进行,如果某模块电路没有设计好,或者存在错误,则总的电路必然会受到影响,所以在设计时应该考虑到实际情况。有时候会因为一个极小的疏忽而导致整个电路无法运行,例如,在仿真过程中由于我忘记接地导致输出老是不对。另外,该课程设计也要求我具备扎实的理论知识,刚开始由于理论知识掌握的不牢靠,在进行仿真设计时也一度使我碰壁,浪费了很多时间,最后不得不捧着书本,再把一些学的不牢固的知识好好的学了一遍。

通过这次课程设计,我基本掌握了用Protues软件仿真电路图并进行仿真分析,且初步掌握了电子电路的设计方法,在以后的学习中还需多加练习,熟练掌握,为以后的工作作准备。

回顾这次课程设计,从选题到定稿,从理论到实践,我懂得了理论与实践相结合的重要性。在这次课程设计当中,我本

来是抢答、定时、报警、时序控制电路都有设计,但仿真做出来的只有定时和抢答两部分,而实物部分定时电路还无法正常工作,由此可见即使课本知识掌握的很好,如果不会综合运用,也是一些支离破碎的无用的知识,只有能把它运用到实践当中,才是真正属于你自己的知识。实践是检验真理的唯一标准,这句话说的真不假啊。以前在课堂上只是听老师说,自己在一旁死记硬背背下来,就以为自己学得很不错了,这一次,既是一个打击一次教训,也是一次学习。

附录

元器件申请表

2 010年6月25日

元器件清单序号器件名称规格数量

1 电阻R1--R6 80K 六个

2 7404 一片

3 74LS247 一片

4 单刀单掷

SW-SPDT

S0---S5 六个

5 单刀双掷S 一个

6 集成电路芯

74LS48 两片

7 / 74LS373 一片

8 / 74LS30 一片

9 / 74LS192 两片

12 / 74LS04 一片

13 / 74LS148 一片

14 / 74LS32 一片

15 / NE555 两片

16 三极管NPN 3DG12 一个

17 发光二极管LED-BLU

E

一个

18 电路板一个

19 七段共阴极

显示器

7SEG-COM-

ANODE

一个

20 七段共阴极

显示器

7SEG-COM-

CAT-GRN

两个21 导线若干

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

实训九 智力竞赛抢答装置

实训九智力竞赛抢答装置 一、实验目的 1、学习数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路的综合运用。 2、熟悉智力竞赛抢赛器的工作原理。 3、了解简单数字系统实验、调试及故障排除方法。 二、实验原理 图16-1为供四人用的智力竞赛抢答装置线路,用以判断抢答优先权。 图16-1智力竞赛抢答装置原理图 图中F 1 为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列 见附录;F 2为双4输入与非门74LS20;F 3 是由74LS00组成的多谐振荡器;F 4 是由74LS74组成的四分频电路,F 3、F 4 组成抢答电路中的CP时钟脉冲源,抢 答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q 1~Q 4 全为0, 104

所有发光二极管LED均熄灭,当主持人宣布“抢答开始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F 2 送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、双踪示波器 5、数字频率计 6、直流数字电压表 7、74LS175、74LS20、74LS74、74LS00 四、实验内容 1、测试各触发器及各逻辑门的逻辑功能。 试测方法参照实验二及实验九有关内容,判断器件的好坏。 2、按图16-1接线,抢答器五个开关接实验装置上的逻辑开关、发光二极管接逻辑电平显示器。 3、断开抢答器电路中CP脉冲源电路,单独对多谐振荡器F 3及分频器F 4 进行调试,调整多谐振荡器10K电位器,使其输出脉冲频率约4KHz,观察F 3 及F 4 输出波形及测试其频率(参照实验十三有关内容)。 4、测试抢答器电路功能 接通+5电源,CP端接实验装置上连续脉冲源,取重复频率约1KHz。 (1)抢答开始前,开关K 1、K 2 、K 3 、K 4 均置“0”,准备抢答,将开关S 置“0”,发光二极管全熄灭,再将S置“1”。抢答开始,K 1、K 2 、K 3 、,K 4 某一 开关置“1”,观察发光二极管的亮、灭情况,然后再将其它三个开关中任一个置“1”,观察发光二极的亮、灭有否改变。 (2)重复(1)的内容,改变K 1、K 2 、K 3 、K 4 任一个开关状态,观察抢答 器的工作情况。 (3)整体测试 105

路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目:八路智力竞赛抢答器设计 姓名: 专业:电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

智力竞赛抢答装置设计

智力竞赛抢答装置 一、实验目的 1、 学习数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉 冲源等 单元电路的综合运用。 2、 熟悉智力竞赛抢赛器的工作原理。 3、 了解简单数字系统实验、调试及故障排除方法。 二、实验原理 图16-1为供四人用的智力竞赛抢答装置线路,用以判断抢答优先 权。 图16-1智力竞赛抢答装置原理图 图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列见附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路,F3、F4组成抢答电路中的CP时钟脉冲源,抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED均熄灭,当主持人宣布“抢答开 始”后,首先作出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余三个抢答者的电路,不再接受其它信号,直到主持人再次清除信号为止。

三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、双踪示波器 5、数字频率计 6、直流数字电压表 7、74LS175、74LS20、74LS74、74LS00 四、实验内容 1、 测试各触发器及各逻辑门的逻辑功能。 试测方法参照实验二及实验九有关内容,判断器件的好坏。 2、 按图16-1接线,抢答器五个开关接实验装置上的逻辑开关、 发光二 极管接逻辑电平显示器。 3、 断开抢答器电路中CP脉冲源电路,单独对多谐振荡器F3及分 频器F4 进行调试,调整多谐振荡器10K电位器,使其输出脉冲频率约4KHz,观察F3及F4输出波形及测试其频率(参照实验十三有关内容)。 4、 测试抢答器电路功能 接通+5电源,CP端接实验装置上连续脉冲源,取重复频率约1KHz。 (1)抢答开始前,开关K1、K2、K3、K4均置“0”,准备抢答,将开关S置“0”,发光二极管全熄灭,再将S置“1”。抢答开始,K1、 K2、K3、,K4某一开关置“1”,观察发光二极管的亮、灭情况,然后再将其它三个开关中任一个置“1”,观察发光二极的亮、灭有否改变。 (2)重复(1)的内容,改变K1、K2、K3、K4任一个开关状态,观察抢答器的工作情况。 (3)整体测试 断开实验装置上的连续脉冲源,接入F3及F4,再进行实验。

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。 三、原理电路设计:

1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

相关主题
文本预览
相关文档 最新文档