当前位置:文档之家› 集成电路版图基础.pdf

集成电路版图基础.pdf

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

集成电路版图设计师职业标准(试行)

集成电路版图设计师职业标准(试行) 一.、职业概况 1.1 职业名称集成电路版图设计师 1.2 职业定义 通过EDA 设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII 数据。 1.3 职业等级本职业共设四个等级,分别是版图设计员(职业资格四级)、助理版图设计师(职业资格三级)、版图设计师(职业资格二级)、高级版图设计师(职业资格一级)。 1.4 职业环境条件室内、常温 1.5 职业能力特征具有良好的电脑使用基础与较强的外语阅读能力;具备一定的半导体微电子基础理论。具有很强的学习能力。 1.6 基本文化程度理工科高等专科学历。 1.7 培训要求 1.7.1 培训期限全日制职业学校教育:根据其培养目标和教学计划确定。晋级培训 期限:版图设计员不少于240 标准学时;助理版图设计师不少于 240 标准学时;版图设计师不少于200 标准学时;高级版图设计师不少于180标准学时。 1.8 鉴定要求 1.8.1 适用对象从事或准备从事集成电路版图设计的人员。 1.8.2 申报条件以上各等级申报条件均参照“关于职业技能鉴定申报条件的暂行规 定” 1.8.3 鉴定方式分为理论知识考试和技能操作考核。技能操作考核采用上机实际操作 方式, 由3- 5 名考评员组成考评小组,根据考生现场操作表现及实际操作输出结果,按统一标准评定得分。两项鉴定均采用100分制,皆达60 分及以上者为合格。 1.8.4 考评人员与考生 理论知识考试:平均15 名考生配一名考评员。技能操作考核:平均5-8 名考生配 1 名考评员。 1.8.5 鉴定时间 理论知识考试:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 技能操作考核:设计员、助理设计师90 分钟,设计师、高级设计师120分钟。 1.8.6 鉴定场地设备用于理论知识考试的标准教室;用于操作技能考试的场所:具有EDA 设计平台和网络教学系统等设备和软件,不少于20 个考位。

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

集成电路版图设计软件LASI使用指南

集成电路版图设计软件----Lasi操作指南 梁竹关 云南大学信息学院电子工程系,zhgliang@https://www.doczj.com/doc/a617830914.html, 1 概述 Lasi是一个集成电路版图设计的软件,可以应用它来画出集成电路原理图、设计集成电路的版图。该软件支持层次设计的思想,上层设计目标可以调用下层设计好的对象,通过一级级(RANK)调用,最终设计出庞大复杂的集成电路版图。 一、软件功能模块 1、设置 (1)不同的图案代表不同物质层 (2)几何尺寸设置 2、输入图案 3、编辑图案 4、设计规则检查(DRC)检查 5、电气规则检查(ERC)LVS 6抽取电路及参数(用于后仿真) 二、下载与安装 进入网址https://www.doczj.com/doc/a617830914.html,/,发现LASI,如图2.1所示,点击它。 图2.1 LASI下载地址 下载后,双击图标LASI进行安装,如图2.2所示。

接着根据提示安装。 图2.3 安装步骤之一 安装成功后,在安装路径下新建一个子目录,并把图2.4所示的选项Copy到该子目录下, 并把Rules文件夹中的文件copy到该子目录下。

图2.4 copy文件三、按键与功能 (一)屏幕上方按键 1、视图 2、编辑 3、系统功能 (二)屏幕右方按键 四、图形文字输入与编辑 (一)图形文字输入

图3.1 Lasi及Attr的界面 如上图3.1所示Menu1和Menu2(按鼠标右键可以在Menu1和Menu2之间选择)提供图形文字输入及编辑等的按键。 1、用Attr按键设置表示器件和互联线的图形 设计集成电路版图时采用一些不同颜色、不同尺寸、不同填充线条的方框代表管子和边线,利用Attr选项可以改变各个表示层的颜色、大小、填充线条。如图3.1所示,CONT表示管子与METAL 1的连接孔。当打开Attr时,选中CONT后,用color选项改变表示CONT的方框颜色,用Fill改变CONT的填充线条类型,用Dash选项改变CONT方框边的线条类型。 PWEL表示P阱工艺中的P阱 NWEL表示N阱工艺中的N阱 ACTV表示有源区 PSEL表示P掺杂区 NSEL表示N掺杂区 POL1表示多晶硅,用做栅极; MET1表示第一层金属 VIA1表示第一层金属与第二层金属之间的连接孔 MET2表示第二层金属 假如Attr界面中的每一层物质层出现的都是0值,如下图3.2所示,用import选项把Lasi 包中给的版图或电路图拉到Lasi程序运行窗口中来就可以。

数字集成电路知识点整理

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路基础工艺和版图设计测试试卷

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

集成电路设计导论

集成电路设计与硅设计链概述 中关村益华软件技术学院陈春章艾霞李青青 摘要:当代计算机、电子通讯和各种多媒体技术需求的迅速发展,使得集成电路的设计规模已从几个晶体管发展到今天千万门的逻辑电路的设计,设计的复杂性也与日剧增,设计分工也渐趋明确。过去的五十年,集成电路产业经历了一次次的工艺技术革命和设计方法学的演变,逐渐形成了较为成熟的产业结构。以ASIC与SoC数字集成电路为例,芯片的设计往往依赖于IP厂商,晶圆生产商,设计库提供商及 EDA厂商的相互合作配合才能实现,本文拟对这样的合作配合模式-- 集成电路硅设计链和它的发展特点作一介绍。 IC Design and Silicon Design Chain Abstract: The demand and their rapid development of computers, electronic communication, and variety consumer & multimedia products have led to the IC design sizes from a few tens of transistors to one hundred million gates. The IC design itself has become more complex, the classification of design methods is becoming clearer. Due to the advancement of process technology and design methodology in the past half centuries, the infrastructure of IC industry has become mature. For successful design of an ASIC/SoC chip, it may rely on the close collaboration between the foundry, the library vendor, the IP provider and the EDA support. This short article introduces such collaboration model, namely, the silicon design chain and its evolving features. 1. IC设计概述 集成电路(IC)的发展从小规模集成电路(晶体管级),中、大规模集成电路(LSI)设计,到含几十万门逻辑电路的超大规模集成电路(VLSI)设计,直至当代数百万至数千万门逻辑电路的ASIC或SoC设计。集成电路设计也逐渐演变成集成系统设计。IC规模的增大,速度的提高都是建立在工艺进步的基础之上,制造工艺从微米级快速发展到亚微米级(sub-micron,即< 1 um)、深亚微米级(deep sub-micron, DSM),而今已实现了65纳米(nm)制造工艺及产品的实现。20世纪末先进的0.25um工艺到了21世纪将会逐渐被认为是过时的技术。由于复杂的IC从设计到实现会滞后于工艺的发展,所以工程技术人员奋力于研究先进的设计工具、设计平台和设计方法,尤其注重于与晶圆生产商,设计库提供商,IP厂商及EDA厂商的合作配合。 集成电路设计按照其处理信号的特征可分为数字集成电路、模拟集成电路和数模混合集成电路设计。数字集成电路首选代表为CPU芯片和当代的ASIC/SoC芯片等,数模电路则主要用于通讯和无线传输电路,模拟电路主要应用于传输接口部分以及射频电路。 本文系根据作者于2004年9月28日为北京工业大学电子信息与控制工程学院研究生演讲整理扩充而成。

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

集成电路版图设计报告

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

集成电路版图设计方法及发展趋势

摘要: 随着微电子工艺特征尺寸的不断缩小,集成电路技术的发展呈现部分新的特征。顺应时代技术潮流,我们将带领大家一起深入了解一下集成电路发展技术及发展趋势。集成电路的应用范围广泛,门类繁多。其分类方法也多种多样,大体上可以按照结构、规模和功能三方面来进行分类。 目前集成电路设计有几种主要设计方法,包括全定制设计方法、定制设计方法、半定制设计方法和可编程逻辑电路设计方法。然后,让我们一起总结一下版图设计中的技巧,诸如:合并公共区域、减线法等。最后我们将回顾一下集成电路的发展历程及趋势,有针对性地设想一下版图设计技术的未来动态,为将来的就业做好准备。 关键词:集成电路设计、版图设计、定制版图设计、SC设计方法、BLL设计方法、GA设计方法、IS技术等 一、引言 纵观人类文明发展历程,科学技术手段解放人类生产力,人类创造科技,科技反过来推进人类文明发展的进程。18世纪末至19世纪初,以伽利略自由落体定律、开普勒行星运动三大定律和牛顿力学为理论基础,以“瓦特发明蒸汽机”为标志的第一次产业革命,产生了近代纺织业和机械制造业,是人类进入利用机器延伸和发展人类体力劳动的时代。19世纪末至20世纪初,以1820年奥斯特、法拉第的电磁理论和麦克斯韦发现的电磁波理论为基础,以实用的发电机应用于工业为标志的第二次技术革命。当前,我们正在经历着以电子信息

技术为代表的新的技术革命。 有人认为,从20世纪中期,人类进入了继石器时代、青铜器时代、铁器时代之后的硅器时代。随着新世纪的到来微电子技术已经成为了整个信息时代的标志和基础。顺应时代潮流,版图设计基于集成设计诸多方法中的一种,具有它独特的存在价值和优势。结合自身实际情况,版图设计是我们电子信息科学与技术专业的基础课,且是我们将来从事就业的主要方向。不管是个人兴趣还是以后就业需求,完成版图设计这一课题的论文设计,将有助于自身加深对该领域的了解与认识,一边印证自己上课所学的内容,一边不断地扩充新的领域和知识,更重要的是通过这次论文设计将有助于自己加深对该专业课程的总结和提炼,并在所学内容的基础上不断凝练和升华,提供了很好的“学有所用,学以致用”实践平台。 二、集成电路分类、设计途径和设计特点 集成电路的应用范围广泛,门类繁多。其分类方法也多种多样。集成电路按结构可分为单片集成电路和混合集成电路两大类,单片集成电路包括:双极型、MOS型(NMOS、PMOS)、BI MOS型(BIMOS、BICMOS)混合集成电路则包括:薄膜混合集成电路和厚膜混合集成电路两种;根据集成电路规模的大小,通常将集成电路分为小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路,集成电路规模的划分主要是根据集成电路中的器件数目,即集成电路规模由集成度确定。根据集成电路的功能可以将其划分为数字集成电路、模拟集成电路和数模混合集成电路三

集成电路设计基础复习

1. 在P 衬底硅片上设计的PMOS 管可以分为n+层、SiO 2层、多晶硅层、金属层和N 井层。 2. 在集成电路设计中,制造厂商所给的工艺中有R □为它成为(方块电阻)。 3. MOS 管元件参数中的C ox 是栅极单位面积所具有的(电容值)。 4. 对于NMOS 而言,工作在饱和区中,其漏电流I D 等于(21()2D P ox GS TH W I C V V L μ= -),不能使用β或K 来表示。 5. 对于PMOS 而言,工作在饱和区中,其漏电流I D 等于 (21(||)2D P ox SG TH W I C V V L μ=--),不能使用β或K 来表示。 6. 对于工作在饱和区的NMOS 而言,其g m 等于(2D m GS TH I g V V =-),只能有I D 和过 驱动电压表示。 7. 对于工作在饱和区的NMOS 而言,其g m 等于(m g =),只能有I D 、W 、L 以及工艺参数表示。 8. 根据MOS 管特征曲线划分的四个工作区域,可以作为MOS 电阻的区域为(深度三极管区)。 9. 根据MOS 管特征曲线划分的四个工作区域中,可以作为电流源的区域为(饱和区)。 10. 对于NMOS 而言,导电沟道形成,但没有产生夹断的外部条件为(V DS 小于V GS -V TH )。 11. 差动信号的优点,能(有效抑制共模噪声),增大输出电压摆幅,偏置电路更简单和输出线性度更高。 12. 分析MOS 共栅放大电路,其电流增益约等于(1)。 13. 差动信号的优点,能有效抑制共模噪声,增大输出电压摆幅,偏置电路更简单和(输出线性度更高)。 14. 共源共栅电流镜如下图所示,当V X 电压源由大变小的过程中,M2和M3管,(M3)先退出饱和区。

相关主题
文本预览
相关文档 最新文档