当前位置:文档之家› 交通灯(红绿灯)设计实验报告

交通灯(红绿灯)设计实验报告

交通灯(红绿灯)设计实验报告
交通灯(红绿灯)设计实验报告

东南大学电工电子实验中心

实验报告

课程名称:数字逻辑设计实践

第四次实验

实验名称:小型数字系统设计(红绿灯)

院(系):吴健雄学院专业:电班

姓名:李博文学号:61010116

实验室: 实验组别:

同组人员:实验时间:2011 年11 月28 日

评定成绩:审阅教师:

一、实验目的

①综合前面所学的各项内容

②掌握数字系统设计的流程和方法

③培养复杂电路连接和调试技能

二、实验原理(简要写出这个综合设计用到哪些实验原理)

本实验使用74LS161级联实现模60的计数,并用74LS161进行状态计数,用74LS138进行状态译码,并使用一些门电路从而达到控制电路的效果。本实验需要掌握74LS161、74LS138的具体使用方法和各端口在不同状态下对应的功能,以及通过表达式的化简从而使用尽量简单的门电路(尽量使用与非门和反相器)。具体过程在实验内容中将进行详细的阐述。三、实验内容

实验要求(分基本要求和提高要求):

基础要求:

设计一个十字路口交通信号灯控制器,在每个入口处设置红,绿,黄三色信号灯,

红灯亮禁止通行,绿灯亮允许通行,在绿灯转红灯之间,黄灯亮

每次放行60秒,其中绿灯55秒,黄灯5秒,红灯60秒

用4个数码管显示时间,其中2个显示东西方向时间,另2个显示南北方向时间,

显示采用加计数模式

用6个LED显示两个方向的红灯、绿灯和黄灯

提高要求:

分主次干道,主干道绿灯55秒,黄灯5秒,红灯30秒;次干道绿灯25秒,黄灯5

秒,红灯60秒

4个数码管显示,其中2个显示主干道方向的时间,另2个显示次干道时间

原理框图为:

2011/12/4东南大学电工电子实验中心12

流程图(基础部分)可表示为:

思路:若将两个方向看成一个整体,用一个状态机控制,则本电路应该有四个状态(此处仅讨论基础部分),状态表如下:

变思路,采用两个状态机。这个方法的核心思想就是将两个方向完全分开,只共用同一个时钟信号,这样每个方向只有三个状态,即红绿黄三种灯对应三种状态,每种状态的时间分别为60s,55s,5s。这个部分可通过两个74161级联达到模60的计数功能(至于怎么让电路在55s和5s改变状态取决于计数器后面的门电路和状态机的计数)。下面给出74161的功能表:

Y1为绿灯,共计55秒,第三个状态Y2为黄灯,共计5s。当计数器74161计到59s(因为是从0开始计数的)或计到54s且状态为Y1时十位的LOAD’端变为低电平,完成十位的置数(其实此时恰好也是置零的效果);当个位计到9或计到54且为状态Y1或个位计到4且为状态Y2时个位的LOAD’端变为低电平,完成个位的置数。且个位计到9时十位的ENT,ENP才变为高电平,开始计数,其他情况下十位处于保持状态;而个位的ENT,ENP接到VCC上,始

终处于计数状态。LED的接口均接到74161的输出端;灯则通过反相器接到74138的输出端(因为输出是低电平有效,而实验箱中的灯是高电平有效)。用作状态计数的74161的ENT 和ENP端,只要三个状态的临界状况满足一个即置为高电平,完成状态的计数,且状态计到11(即第四个状态)刚出现时即使用置数功能。

对于南北方向,思路大致与东西方向相同,因为要使两方向的灯亮情况相协调,所以只需改变状态的顺序,即南北方向第一个状态Y0表示绿灯共计55s,第二个状态Y1表示黄灯共计5s,第三个状态Y2表示红灯共计60s。

对于门电路部分,其主要工作其实就是表达式的化简,尽量采用与非表达式(方便搭试电路),由于其难度不大,且属于本课程初期内容,此处就不详细写出化简过程(注意:本实验中列写表达式时注意电路中的高低电平,即明确是低电平有效还是高电平有效)。

综上所述,基础版的电路图大致如下:

2.5 V

提高部分:

对于提高部分,电路并无太大的改动。只是东西方向的红灯变为30s,南北方向的绿灯变为25s。故只需将门电路的部分做些改动,使之在状态临界处改变。电路图为:

验证(静态验证和动态验证):

静态验证:

两个方向状态的真值表(低电平有效):

EW为东西方向,SN为南北方向。

某一个方向三个灯的输出波形(因为逻辑分析仪的问题,有一个方向始终调不出来,故此图不好反映两个方向的对比),其中绿灯线表示红灯,白色线表示绿灯,蓝色线表示黄灯(以低电平有效为标准)。

下面是南北方向上三个计数器(74LS161)的真值表(只采了部分数值)

下面是某个方向上三个计数器的动态输出波形(对应上表的12个输出)。(此图可能有问题)

下面是两个方向的状态机(74138)输入值的真值表:

(不慎将南北和东西方向弄颠倒了,左面四列应为EW,右面四列应为SN)

东西(EW)方向的0000对应Y0即红灯,0001对应Y1即绿灯,0010对应Y2即黄灯;南北(SN)方向的0000对应Y0即绿灯,0001对应Y1即黄灯,0010对应Y2即红灯。

基于某数字电路交通红绿灯设计

基于数字电路交通红绿灯设计

目录 一、设计目的 (3) 二、设计任务 (3) 三、设计要求 (4) 四、总体设计思路 (4) 五、电路设计模块与分析 (5) 1、交通灯控制系统的原理 (5) 2、状态控制电路 (6) 3、初值预置电路 (8) 4、数字显示电路 (9) 5、秒脉冲电路 (10) 六、总设计电路图 (11) 七、元件清单及其引脚功能 (12) 八、仿真故障 (13) 九、心得体会 (13) 十、参考文献 (14)

一、设计目的 1、通过设计,巩固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的设计能力。 2、通过该课程设计,设计出符合任务要求的电路。 3、掌握常用集成器的一般设计方法。 4、训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力。 5、熟练使用Multisim软件。 二、设计任务 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路

的设计提供了一定的技术基础。 三、设计要求 本设计通过采用数字电路对交通灯控制电路的设计,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 1、东西方向绿灯亮,南北方向红灯亮,时间25s。 2、南北方向绿灯亮,东西方向红灯亮,时间25s。 3、东西方向或南北方向黄灯亮,时间均为5s。 四、总体设计思路 1、根据本课题设计要求分析并画出简易电路结构框图。 2、按照电路结构框图绘制电路原理图。 3、选定各部分集成电路元器件的类型。 4、利用Multisim软件对所设计电路进行调试仿真。 根据设计任务和要求,而确定交通灯控制器电路的系统工作框图

交通灯实验报告

交通控制器设计实验 一.实验目的 1.了解交通灯的亮灭规律。 2.了解交通灯控制器的工作原理。 3.进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二.实验任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 三.原理分析 本系统主要由计数控制器和倒计时显示器电路组成。计数控制器实现总共90秒的计数,90秒也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示器电路实现45秒倒计时和显示功能。整个系统的工作时序受控制器控制,它是系统的核心。 控制器的整个工作过程用状态机进行描述,其状态转移关系如下图所示。五种状态描述如下: s0:东西方向红灯亮,南北方向绿灯亮,此状态持续40秒的时间; s1:东西方向红灯亮,南北方向黄灯亮,此状态持续5秒的时间;

s2:东西方向绿灯亮,南北方向红灯亮,此状态持续40秒的时间; s3:东西方向黄灯亮,南北方向红灯亮,此状态持续5秒的时间; s4:紧急制动状态,东西方向红灯亮,南北方向红灯亮,当紧急制动信号有效(hold=’0’)时进入这种状态。 当紧急制动信号无效(hold=’1’)时,状态机按照s0→s1→s2→s3→s0循环;当紧急制动有效(hold=’0’)时,状态机立即进入s4,两个方向红灯全亮,计数器停止计数;当紧急制动信号再恢复无效时,状态机会回到原来的状态继续执行。 四.电路设计 交通控制器系统顶层原理图如下图所示,它由计数控制器(control),45秒倒计时计数器(M45)模块组成。下面主要介绍计数控制器和倒计时计数器M45的设计方法。

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

十字路口交通灯控制设计

网络教育学院 《可编程控制器》大作业 题目:十字路口交通灯控制设计 学习中心:辽宁彰武电大学习中心 层次:高中起点专科 专业:电力系统自动化技术 年级: 2015 年秋季 学号: 151524228206 学生姓名:陈润泽

题目五:十字路口交通灯控制设计 起动后,南北红灯亮并维持30s。在南北红灯亮的同时,东西绿灯也亮,东西绿灯亮25s后闪亮,3s后熄灭,东西黄灯亮,黄灯亮2s后,东西红灯亮,与此同时,南北红灯灭,南北绿灯亮。南北绿灯亮25s后闪亮,3s后熄灭,南北黄灯亮,黄灯亮2s后,南北红灯亮,东西红灯灭,东西绿灯亮。依次循环。 十字路口交通灯控制示意图及时序图如下图所示。 设计要求:(1)首先对可编程序控制器(PLC)的产生与发展、主要性 能指标、分类、特点、功能与应用领域等进行简要介绍; (2)设计选用西门子S7-200 系列PLC,对其I/O口进行分配, 并使用STEP7-MicroWIN编程软件设计程序梯形图(梯形图 截图后放到作业中); (3)总结:需要说明的问题以及设计的心得体会。

1 设计背景 1.1 背景概述 本文对十字路口交通信号灯控制系统,运用可编程逻辑器件PLC做了软件与硬件的设计,能基本达到控制要求。系统仅实现了小型PLC系统的一个雏形,在完善各项功能方面都还需要进一步的分析、研究和调试工作。如果进一步结合工业控制的要求,形成一个较为成型的产品,则需要作更多、更深入的研究。 1.2 可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logic Controller,简称 PLC) 根据国际电工委员会(IEC)在1987年的可编程控制器国际标准第三稿中,对其作了如下定义:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境应用而设计的。它采用可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制,定时,计数与算术运算等操作的指令,并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外部设备,都应按易于使工业控制系统形成一个整体,易于扩充其功能的原则设计。”可编程控制器作为目前工业自动化的重要基础设备,被称为“工业自动化三大支柱性产业之一”,在各工业生产领域发挥着愈来愈大的作用。 2 十字路口交通信号灯PLC控制系统简介 2.1 控制对象及要求 2.1.1 控制对象 本系统的控制对象有八个,分别是: 东西方向红灯(R—EW)两个; 南北方向红灯 (R—SN) 两个; 东西方向黄灯(Y—EW)两个; 南北方向黄灯 (Y—SN) 两个; 东西方向绿灯(G—EW)两个;

PLC实验报告(交通灯控制系统)

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

(1)确定I/O点数。列出详细的I/O地址分配表。如(该表仅为举例, (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。 解:由题目要求得,

②梯形图如下图①,语句表如下图②,时序图如下图③: 图①图① 图②图② 图③ 五、注意事项

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

交通红绿灯课程设计

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:交通红绿灯 专业:电气工程及其自动化 学生姓名: 学号: 起迄日期: 2013 年9月30日——2014年1月3日指导教师:

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献: [1] 康华光. 电子技术基础(模拟部分)第五版[M]. 北京:高等教育出版社,2006 [2] 康华光. 电子技术基础(数字部分)第五版[M]. 北京:高等教育出版社,2006 [3] 中国集成电路大全编写委员会. 中国集成电路大全集成运算放大器[M]. 北京:国防工业出版社,1985 [4] 孙梅生,李梅莺,徐搌英. 电子技术基础课程设计[M]. 北京:高等教育出版社,1989 [5] 彭介华. 电子技术基础课程设计[M]. 北京:高等教育出版社,1997 4.课程设计工作进度计划: 序号起迄日期工作内容 12013.09.20~2013.09.25 明确设计任务,根据任务进行方案选择,画出系统框图。 22013.10.8~2013.10.22 对方案中的各部分进行单元电路的设计,参数计算和器 件选择。完成单元电路的仿真。 32013.10.23~2013.11.08 将各部分连接,画出完整的系统原理电路图。完成仿真。42013.11.11~2013.11.30 绘制电路原理图、PCB板、元器件装配图。调试电路5013.12.05~2014.1.4 撰写、修改设计说明书。设计说明书定稿 主指导教师日期:2013年9 月30 日

plc交通灯实验报告

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

红绿灯实验报告

实验报告 班级:学号: 姓名:日期: 实验一、红绿灯控制 一、实验目的 熟悉软件的使用,掌握plc编程的方法,编写程序控制十字路口的红绿灯。 二、实验设备 一台安装有STEP 7-MivroWIN4.0与S7200_simulation的电脑。 三、控制要求分析 实验利用PLC控制十字路口的红绿灯。十字路口的红绿灯分为横向控制灯和纵向控制灯,每个方向有红、绿、黄3种颜色的控制灯。 当电路接通,双向红绿灯开始正常工作,横向的绿灯和纵向的红灯先亮。横向的绿灯亮维持8s,在横向绿灯亮的同时纵向的红灯也亮起,并维持10s。第8秒时横向的绿灯熄灭,同时亮起黄灯并维持2s后熄灭。第10s时,横向黄灯熄灭的同时亮起红灯并维持10s,同时纵向的绿灯亮起并维持8s。当纵向绿灯熄灭并亮起黄灯持续2s后红灯亮起,同时横向的绿灯也亮起并维持8s到此一个循环就此结束下一个循环开始。当按下紧停按钮时两路同时亮黄灯2s后,其中一路亮红灯另一路亮绿灯。本实验设置了两个紧停按钮。 四、PLC的I/O分析 I0.1,I0.2两个紧停按钮。M0.1,M0.2中间继电器。 Q0.0横向绿灯,Q0.1横向黄灯,Q0.2横向红灯, Q0.3纵向红灯,Q0.4纵向绿灯,Q0.5纵向黄灯。 T37、T41为8s定时器, T38、T42为2s定时器, T39、T40为10s定时器。 五、PLC梯形图程序及指令表程序

梯形图程序:

指令表程序: LD I0.1 = M0.1 Network 2 LDN M0.2

AN T37 LDN M0.1 A T38 A M0.2 OLD = Q0.0 Network 3 LDN M0.2 AN M0.1 AN T39 TON T37, 80 Network 4 LDN M0.2 AN M0.1 AN T38 A T37 LDN M0.2 AN T38 A M0.1 OLD LDN M0.1 AN T38 A M0.2 OLD = Q0.1 Network 5 LDN M0.1 AN M0.2 A T37 LDN M0.2 A M0.1 OLD LDN M0.1 A M0.2 OLD TON T38, 20 Network 6 LDN M0.2 AN M0.1 AN T39 A T38 LDN M0.2 A T38

红绿灯实验报告

红绿灯实验报告 上海交通大学材料科学与工程学院 实验目的: 通过Labview程序设计做出十字路口红绿灯的计算机模拟。 程序原理: 整体思路: 用户将纵向红灯时间t纵红、纵向黄灯时间t纵黄、纵向绿灯时间t纵绿输入完毕后,程序会将这三段时间相加作为一个循环的时长T,并把时间计数器的时间除以1000取整数部分,再用这个结果除以T取余数得到当前循环已进行的时间t,取整数得到已进行的循环次数n。为了简便起见,程序默认t横黄等于t纵黄。根据实际经验可知: 机动车道部分: t纵红= t横黄+ t横绿 t横红= t纵黄+ t纵绿 人行道部分: t纵红= t横绿= 机动车道部分t纵红 t横红= t纵绿= 机动车道部分t横红 因此,我们可以采用判断时间区间的办法控制各个指示灯的亮灭,即:令纵向红灯时间区间为[ 0,t 纵红 ]、纵向黄灯时间区间为[ t纵红 + t纵绿,T ]、纵向绿灯时间区间为[ t纵红,t纵红 + t纵绿 ]、横向红灯时间区间为[ t纵红,T ]、横向黄灯时间区间为[ t横绿,t纵红 ]、横向绿灯时间区间为[ 0,t横绿 ],利用判定范围元件判断t所符合的区间。当t符合某些红灯或绿灯的区间时,指定元件将布尔量直接输出到信号灯,从而点亮这些红灯或绿灯并保持其他红灯或绿灯不工作;当t符合黄灯的区间时,利用相应元件得到黄灯已工作的时间,并将其除以2取余数,判断余数是否等于0,将布尔量输入信号灯,达到让黄灯闪烁的目的。 显然,各对指示灯时间区间均不相同,但是同一方向上三种颜色的指示灯的时间区间相加正好可以构成一个完整的循环,所以某一确定方向上有且仅有一种颜色的交通灯在工作。另外,本程序通过控制时间区间,完美地实现了不同方向上指示灯的协同工作,很好地模拟了实际情况。 另外,程序利用while循环以及移位寄存器实现连续运行。根据时间计数器的性质,每计时1000毫秒就会自动停止一次,所以本程序的设计中,每次循环里时间计数器只运行1000毫秒,通过不停地循环实现程序的连续运行。将移位寄存器赋以初始值1,而开始计时的时候n = 0。当二者不相等时,利用元件把此时移位寄存器的数值再次寄存并继续循环;当二者相等后,利用元件把移位寄存器此时的数值加1后寄存并继续循环,则二者又不相等了,元件就会把此时移位寄存器的数值再次寄存并继续循环。依此类推,则程序就会连续运行下去,不会终止。 程序设计方案: 当前循环已进行的时间t和已进行的循环次数n的获取: 加入一个时间计数器。由于时间单位是毫秒,而用户输入的时间单位是秒,因此需要转换单位:把计数的时间用“商与余数”元件除以1000取整数部分,即可将毫秒转化为秒。记此结果为t0,然后把t纵红、t纵黄和t纵绿用复合运算元件相加得到T,再用“商与余数”元件将t0除以T取余数即可得到当前循环已进行的时间t,取整数即为已进行的循环次数n。 机动车道指示灯部分:

交通灯设计报告

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

红绿灯实验报告

课程设计 《微机原理与接口技术》 2012~2013学年第一学期 目录 学院(部)电子电气工程学院 学号021210134 姓名崔平 授课教师戎自强

目录 一、课程设计的目的 (1) 二、设计的题目及要求 (1) 2.1、题目(一) (1) 2.2、题目(二) (1) 三、设计的内容及程序 (1) 3.1对于题目(一)的分析与设计 (2) 3.1.1设计的程序流程图 (2) 3.1.2设计的程序 (3) 3.1.3调试结果和程序结果分析 (4) 3.2对设计题(二)的分析与设计 (4) 3.2.1实验电路及连线 (4) 3.2.2设计程序流程图 (5) 3.2.3设计的程序 (6) 3.2.4结果分析 (9) 3.2.5程序拓展内容 (9) 四、扩展内容 (13) 4.1、关于行人闯红灯的扩展 (13) 4.2、关于黄灯问题的扩展 (15) 五、心得体会 (18) 六、参考文献 (19)

微机课程设计报告 一、课程设计的目的 课程设计有利于基础知识的理解和逻辑思维的锻炼。本课程设计是微机原理与接口技术课程的配套内容。微型计算机在很多场合作为一个控制系统的控制部件或作为一个设备的智能化部件融入系统中。学习和掌握计算机汇编语言和常用接口电路的应用和设计技术。充分认识理论知识对应技术的指导作用,进一步加强理论知识与实践相结合,加深对专业知识和理论知识的认识和理解。对于自动化专业的学会了解和认识微机的硬件结构和输入输出接口技术是十分必要的。本课程设计要求同学们自己按要求设计一段程序并完成简单的红绿灯程序制作以及相应的拓展。 二、设计的题目及要求 2.1、题目(一) 2.1.1设计目的 熟练掌握8088汇编语言程序各种结构的设计及编程方法,熟练掌握DOS中断功能调用的方法。 2.1.2内容 编制一个完整的程序,计算100个正整数(字数据)之和,如果不超过机器数的范围(65535),则计算其平均值并存于(RUSULT)中,否则显示“overflow”。 2.2、题目(二) 2.2.1设计目的 掌握8088CPU及存储器硬件接口电路的设计连接,掌握相关硬件驱动软件设计及信息处理软件的设计调试方法。 2.2.2内容 利用8088CPU以及8255设计十字路口交通灯控制系统。基本要求是完成双向红灯黄灯的配合控制。并对当前的红绿灯系统的不足加以思考,并给出相应的解决方法。

交通灯实验报告

学校代码:11460 南京晓庄学院本科生毕业综合设计 交通灯控制系统设计 traffic light control system design 院系:物理与电子工程学院 专业: 电子信息科学与技术 成员:郁艇妹(08409244) 周纬璐(08409247) 交通灯控制系统设计实验 一.设计目的 1. 通过本次课程设计进一步熟悉和掌握单片机的结构及工作原理,巩固和加深“单片 机原理与应用”课程的基本知识,掌握电子设计知识在实际中的简单应用。 2. 综合运用“单片机原理与应用”课程和先修课程的理论及生产实际知识去分析和解决 电子设计问题,进行电子设计的训练。 3. 学习电子设计的一般方法,掌握at89c52芯片以及简单电子设计过程和运行方式, 培养正确的设计思想和分析问题、解决问题的能力,特别是总体设计能力。 4. 通过计算和绘制原理图、布线图和流程图,学会运用标准、规范、手册、图册和查阅 有关技术资料等,培养电子设计的基本技能。 5. 通过完成一个包括电路设计和程序开发的完整过程,了解开发单片机应用系统全过程, 为今后从事的工作打基础。 二.设计要求 1.利用单片机的定时器定时,实现道路的红绿灯交替点亮和熄灭。 2.以at89c52单片机为核心,设计一个十字路口交通灯控制系统。用单片机控制led灯 模拟交通信号灯显示。假定东西、南北方向方向通行(绿灯)时间为25秒,缓冲(黄灯)时 间5秒,停止(红灯)时间35秒。 3.南北方向、东西方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器 进行显示(采用计时的方法)。 三.实验原理 1.基本原理 主体电路:交通灯自动控制模块。这部分电路主要由80c51单片机的i/o端口、定时计 数器、外部中断扩展等组成。 本设计先是从普通三色灯的指示开始进行设计,用p1口作为输出。程序的初始化是东西 南北方向的红灯全亮。然后南北方向红灯亮,东西方向绿灯亮,60秒后东西方向黄灯闪亮5 秒后南北方向绿灯亮,东西方向红灯亮。重复执行。倒计时用到定时器t0,用p2口作为led 的显示。二位一体的led重复执行60秒的倒计时。作为突发事件的处理,本设计主要用到外 部中断ex0。用一模拟开关作为中断信号。实际中可以接其它可以产生中断信号的信号源。 2.芯片at89c52 at89c52是一个低电压,高性能cmos 8位单片机,片内含8k bytes的可反复擦写 的flash只读程序存储器和256 bytes的随机存取数据存储器(ram),器件采用atmel 公司的高密度、非易失性存储技术生产,兼容标准mcs-51指令系统,片内置通用8位中央处 理器和flash存储单元,功能强大的at89c52单片机可为您提供许多较复杂系统控制应 用场合。 at89c52有40个引脚,32个外部双向输入/输出(i/o)端口,同时内含2个外中断口, 3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,at89c52可以按照常规

交通红绿灯课程设计

交通红绿灯课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

南华大学电气工程学院 《电子技术课程设计》任务书 设计题目:交通红绿灯 专业:电气工程及其自动化 学生姓名: 学号: 起迄日期: 2013 年9月30日——2014年1月3日指导教师:

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献: [1] 康华光. 电子技术基础(模拟部分)第五版[M]. 北京:高等教育出版社,2006 [2] 康华光. 电子技术基础(数字部分)第五版[M]. 北京:高等教育出版社,2006 [3] 中国集成电路大全编写委员会. 中国集成电路大全集成运算放大器[M]. 北京:国防工业出版社,1985 [4] 孙梅生,李梅莺,徐搌英. 电子技术基础课程设计[M]. 北京:高等教育出版社,1989 [5] 彭介华. 电子技术基础课程设计[M]. 北京:高等教育出版社,1997 4.课程设计工作进度计划: 序号起迄日期工作内容 1 明确设计任务,根据任务进行方案选择,画出系统框图。 2 对方案中的各部分进行单元电路的设计,参数计算和器件选择。完成单元电路的仿真。 3 将各部分连接,画出完整的系统原理电路图。完成仿真。 4 绘制电路原理图、PCB板、元器件装配图。调试电路5 撰写、修改设计说明书。设计说明书定稿 主指导教师日期: 2013年 9 月 30 日

红绿灯实验报告

微机原理与接口技术实 验报告 综合实验红绿灯仿真及实现 专业:电气工程及其自动化班级:电气1311 学号: 201301001206 姓名:龚玉辛 预习报告成绩:实验成绩: 实验日期及时间:(1) 2016年5月20日 (2) 2016年5月26日 (3) 机位号:

一实验一:在Proteus仿真实现交通信号灯系统。 (1)交通信号灯系统设计描述(实现内容) a)在DATAS 数据段内可以任意初始化红灯,绿灯,黄灯所要 显示的秒数,实现通用化。。 b)紧急情况下按下按钮,所有红灯亮,数显管全部显示0 c)紧急情况解除后,恢复正常,用另一个按钮(8259中断) (2)P roteus中实现原理图 所用元件:7SEG-BCD、74LS138、74LS373、8086、8253A、8255A、 8259、BUTTON、LED-GREEN、LED-YELLOW、LED-RED. (3)源程序清单 DATAS SEGMENT hong DB 55 lv dW 52 huang db 2h,1h,0h z=$-huang zt1 db? zt2 db?

ZT3 DB? DATAS ENDS STACK SEGMENT'STACK' SUR1 DB 1000 DUP(?) STACK ENDS CODES SEGMENT ASSUME CS:CODES,DS:DATAS START: MOV AX,DATAS MOV DS,AX CLI PUSH DS mov al,80h mov dx,8006H OUT DX,AL MOV DX,0A006H MOV AL,00110001B OUT DX,AL MOV DX,0A000H MOV AL,00 OUT DX,AL MOV AL,10 OUT DX,AL MOV AX,0 MOV DS,AX MOV DI,4*60H MOV AX,OFFSET NEXT2 MOV [DI],AX MOV AX,SEG NEXT2 MOV [DI+2],AX mov ax,0 mov ds,ax MOV DI,4*61H MOV AX,OFFSET NEXT1 MOV [DI],AX MOV AX,SEG NEXT1 MOV [DI+2],AX

PLC交通红绿灯设计

目录 摘要···········································································································I ABSTRACT··················································II 第1章绪论 (1) 1.1设计目的 (1) 1.2设计的主要内容 (1) 第2章PLC的结构及设计原理 (1) 2.1PLC的结构 (1) 2.2PLC的分类 (2) 2.3PLC的工作原理 (2) 2.4PLC设计交通灯所用指令介绍 (3) 第3章程序编写 (5) 3.1控制要求 (5) 3.2依据要求画时序图 (6) 3.3交通灯程序图 (7) 程序调试 (10) 结论 (11) 致谢 (12) 参考文献 (13)

本次课程设计主要能完成:用PLC进行编程完成交通十字路口红绿灯的设计,控制对象:南北主干道和东西主干道各有六个交通灯,其中左转有红、黄、绿三个;直行有红、黄、绿三个;南北人行道和东西人行道各有红、黄、绿三个交通灯。先根据要求画出时序图,再利用CX-Programmer软件进行编程,并用Microsoft Office Visio2003软件画出模拟现场十字交通路口,使编程更容易 让人接受看懂。应用PLC可编程技术,是因为现今很多工程已经运用了PLC来设计程序,可编程控制器(PLC)以微处理器为核心,普遍采用继电接触器控制系统的梯形图语言进行程序设计,编程容易,功能扩展方便,修改灵活,而且结构简单,抗干扰能力强。欧姆龙可编程控制器指令丰富,可以接各种输出、输入扩充设备,有丰富的特殊扩展设备,其中的模拟输入设备和通信设备更是符合交通灯控制系统的要求与特点,能够方便地联网通信。 关键字:交通灯;梯形图;梯形图

数字逻辑电路红绿灯课程设计实验报告

题目:红绿灯控制器 指导教师:莫琳 设计人员(学号):谭晨曦(1107200144)班级:电信类111班 日期:2013年5月25日

目录一.设计任务书 二.设计框图及整机概述 三.各单元电路的设计方案及原理说明 四.调试过程及结果分析 五.设计、安装及调试中的体会 六.对本次课程设计的意见及建议 七.附录(包括:整机逻辑电路图和元器件清单)

一、设计任务书 基本设计要求:EWB仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能: (1)东西方向绿灯亮,南北方向红灯亮。. (2)东西方向黄灯亮,南北方向红灯亮。 (3)东西方向红灯亮,南北方向绿灯亮。 (4 ) 东西方向红灯亮,南北方向黄灯亮。 要求有时间显示的(顺数、逆数皆可)时间自定(按学号:红灯时间(学号)=绿灯时间+黄灯时间(≥5)。 二.设计框图及整机概述 设计框图: 电源计数器数码显示 脉冲控制红绿灯 红绿灯控制器设计框图

整机概述: 红绿灯控制器由电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分组成。电源模块为整机提供电源;脉冲模块提供给计数器作为时钟信号;计数模块为44进制计数器,分别输出到数码显示模块和控制模块作为数码显示信号和控制信号;数码显示模块接收来自计数器模块的信号,表明计数器工作状态;控制模块接收计数器模块输出的控制信号从而控制红绿灯的亮灭;红绿灯模块的亮灭情况见设计任务书。 三、各单元电路的设计方案及原理说明 电源模块:外接,略。 脉冲模块:外接,略。 计数模块:

计数模块内两个计数器(74LS160)CLK外接时钟脉冲信号,A、B、C、D接地,LOAD接高电平。低位计数器(左)的进位信号通过RCO输送至高位计数器(右),使高位计数器仅在有进位时工作。因为我的学号为44,按照任务书要求,再通过将两个计数器的Q c分别接至与非门,以与非门的输出信号作为两个计数器的置零信号,至此,一个四十四进制计数器完成。 控制模块: 控制模块根据以下设计表格,通过四进制计数表示四个时间段内灯的亮灭情况从而控制红绿灯模块,以计数器模块输出的控制信号作为脉冲输入。 控制输出端东西方向南北方向 Q B Q A红黄绿红黄绿 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0

相关主题
文本预览
相关文档 最新文档