当前位置:文档之家› 编码器基础知识

编码器基础知识

编码器基础知识
编码器基础知识

增量旋转编码器选型有哪些注意事项?

应注意三方面的参数:

1. 机械安装尺寸,包括定位止口,轴径,安装孔位;电缆出线方式;安装空间体积;工作环境防护等级是否满足要求。

2.分辨率,即编码器工作时每圈输出的脉冲数,是否满足设计使用精度要求。

3.电气接口,编码器输出方式常见有推拉输出(F型HTL格式),电压输出(E),集电极开路(C,常见C为NPN型管输出,C2为PNP型管输出),长线驱动器输出。其输出方式应和其控制系统的接口电路相匹配。

请教如何使用增量编码器?

1,增量型旋转编码器有分辨率的差异,使用每圈产生的脉冲数来计量,数目从6到5400或更高,脉冲数越多,分辨率越高;这是选型的重要依据之一。

2,增量型编码器通常有三路信号输出(差分有六路信号):A,B和Z,一般采用TTL 电平,A脉冲在前,B脉冲在后,A,B脉冲相差90度,每圈发出一个Z脉冲,可作为参考机械零位。一般利用A超前B或B超前A进行判向。

3,使用PLC采集数据,可选用高速计数模块;使用工控机采集数据,可选用高速计数板卡;使用单片机采集数据,建议选用带光电耦合器的输入端口。

4,建议B脉冲做顺向(前向)脉冲,A脉冲做逆向(后向)脉冲,Z原点零位脉冲。

5,在电子装置中设立计数栈。

关于电源供应及编码器和PLC连接:

一般编码器的工作电源有三种:5Vdc、5-13 Vdc或11-26Vdc。如果你买的编码器用的是11-26Vdc的,就可以用PLC的24V电源,需注意的是:

1.编码器的耗电流,在PLC的电源功率范围内。

2.编码器如是并行输出,连接PLC的I/O点,需了解编码器的信号电平是推拉式(或称推挽式)输出还是集电极开路输出,如是集电极开路输出的,有N型和P型两种,需与PLC的I/O极性相同。如是推拉式输出则连接没有什么问题。

3.编码器如是驱动器输出,一般信号电平是5V的,连接的时候要小心,不要让24V 的电源电平串入5V的信号接线中去而损坏编码器的信号端。

干扰的问题

选择什么样的输出对抗干扰也很重要,一般输出带反向信号的抗干扰要好一些,即

A+~A-,B+~B-,Z+~Z-,其特征是加上电源8根线,而不是5根线(共零)。带反向信号的在电缆中的传输是对称的,受干扰小,在接受设备中也可以再增加判断(例如接受设备的信号利用A、B信号90°相位差,读到电平10、11、01、00四种状态时,计为一有效脉冲,此方案可有效提高系统抗干扰性能(计数准确))。

何为长线驱动?普通型编码器能否远距离传送?

长线驱动也称差分长线驱动,5V,TTL的正负波形对称形式,由于其正负电流方向相反,对外电磁场抵消,故抗干扰能力较强。普通型编码器一般传输距离是100米,如果是24V HTL型且有对称负信号的,传输距离300-400米。

增量光栅Z信号可否作零点?圆光栅编码器如何选用?

无论直线光栅还是轴编码器其Z信号的均可达到同A\B信号相同的精确度,只不过轴编码器是一圈一个,而直线光栅是每隔一定距离一个,用这个信号可达到很高的重复精度。可先用普通的接近开关初定位,然后找最为接近的Z信号(每次同方向找),装的时候不要望忘了将其相位调的和光栅相位一致,否则不准。

增量型编码器和绝对型编码器有何区别?做一个伺服系统时怎么选择呢?

常用的为增量型编码器,如果对位置、零位有严格要求用绝对型编码器。伺服系统要具体分析,看应用场合。

测速度用常用增量型编码器,可无限累加测量;测位置用绝对型编码器,位置唯一性(单圈或多圈),最终看应用场合,看要实现的目的和要求。

绝对型旋转编码器选型注意事项,旋转编码器和接近开关、光电开关优势比较:

绝对编码器单圈从经济型8位到高精度17位;

绝对编码器多圈大部分用25位,输出有SSI,总线Profibus-DP,Can

L2,Interbus,DeviceNet。

从增量式编码器到绝对式编码器

旋转增量式编码器以转动时输出脉冲,通过计数设备来知道其位置,当编码器不动或停电时,依靠计数设备的内部记忆来记住位置。这样,当停电后,编码器不能有任何的移动,当来电工作时,编码器输出脉冲过程中,也不能有干扰而丢失脉冲,不然,计数设备记忆的零点就会偏移,而且这种偏移的量是无从知道的,只有错误的生产结果出现后才能知道。

解决的方法是增加参考点,编码器每经过参考点,将参考位置修正进计数设备的记忆位置。在参考点以前,是不能保证位置的准确性的。为此,在工控中就有每次操作先找参考点,开机找零等方法。

比如,打印机扫描仪的定位就是用的增量式编码器原理,每次开机,我们都能听到噼哩啪啦的一阵响,它在找参考零点,然后才工作。

这样的方法对有些工控项目比较麻烦,甚至不允许开机找零(开机后就要知道准确位置),于是就有了绝对编码器的出现。

绝对编码器光码盘上有许多道刻线,每道刻线依次以2线、4线、8线、16线。。。。。。编排,这样,在编码器的每一个位置,通过读取每道刻线的通、暗,获得一组从2的零次方到2的n-1次方的唯一的2进制编码(格雷码),这就称为n位绝对编码器。这样的编码器是由码盘的机械位置决定的,它不受停电、干扰的影响。

绝对编码器由机械位置决定的每个位置的唯一性,它无需记忆,无需找参考点,而且不用一直计数,什么时候需要知道位置,什么时候就去读取它的位置。这样,编码器的抗干扰特性、数据的可靠性大大提高了。

由于绝对编码器在位置定位方面明显地优于增量式编码器,已经越来越多地应用于工控定位中。

测速度需要可以无限累加测量,目前增量型编码器在测速应用方面仍处于无可取代的主流位置。

从单圈绝对式编码器到多圈绝对式编码器

旋转单圈绝对式编码器,以转动中测量光码盘各道刻线,以获取唯一的编码,当转动超过360度时,编码又回到原点,这样就不符合绝对编码唯一的原则,这样的编码器只能用于旋转范围360度以内的测量,称为单圈绝对式编码器。

如果要测量旋转超过360度范围,就要用到多圈绝对式编码器。

编码器生产厂家运用钟表齿轮机械的原理,当中心码盘旋转时,通过齿轮传动另一组码盘(或多组齿轮,多组码盘),在单圈编码的基础上再增加圈数的编码,以扩大编码器的测量范围,这样的绝对编码器就称为多圈式绝对编码器,它同样是由机械位置确定编码,每个位置编码唯一不重复,而无需记忆。

多圈编码器另一个优点是由于测量范围大,实际使用往往富裕较多,这样在安装时不必要费劲找零点,将某一中间位置作为起始点就可以了,而大大简化了安装调试难度。

绝对型编码器的串行和并行输出的介绍

并行输出:

绝对型编码器输出的是多位数码(格雷码或纯二进制码),并行输出就是在接口上有多点高低电平输出,以代表数码的1或0,对于位数不高的绝对编码器,一般就直接以此形式输出数码,可直接进入PLC或上位机的I/O接口,输出即时,连接简单。但是并行输出有如下问题:

1。必须是格雷码,因为如是纯二进制码,在数据刷新时可能有多位变化,读数会在短时间里造成错码。

2。所有接口必须确保连接好,因为如有个别连接不良点,该点电位始终是0,造成错码而无法判断。

3。传输距离不能远,一般在一两米,对于复杂环境,最好有隔离。

4。对于位数较多,要许多芯电缆,并要确保连接优良,由此带来工程难度,同样,对于编码器,要同时有许多节点输出,增加编码器的故障损坏率。

并行:时间上,数据同时发出;空间上,每个位数的数据各占用一根线缆。

增量型编码器输出的通常是并行输出。

串行输出:

串行输出就是通过约定,在时间上有先后的数据输出,这种约定称为通讯规约,其连接的物理形式有RS232、RS422(TTL)、RS485等。

串行输出连接线少,传输距离远,对于编码器的保护和可靠性就大大提高了,一般高位数的绝对编码器都是用串行输出的。

由于绝对型编码器的部分知名厂家在德国,所以串行输出大部分是与德国的西门子配套的,如SSI同步串行输出,总线型是PROFIBUS-DP的输出等。

串行输出编码器连接德国西门子的设备是比较容易的,但是连接非德国系的设备,接口就是问题了,我公司提供各种接口输出的仪表,可以解决这样的问题。

串行:时间上,数据按照约定,有先后;空间上,所有位数的数据都在一组线缆上(先后)发出。

串行编码器应该都是绝对式的?

串行是指按时间约定,串行输出数字编码信号,基本是绝对的,但也有一些增量编码器,通过内置电池记忆原点,其也可以通过串行输出位置值,如电池线不联,还是增量编码器,此也称为伪绝对值编码器,在一些日本伺服系统中较多见。其本质其实还是增量编码器。

为什么叫“绝对型编码器”?

“绝对型编码器”相对于“增量型编码器”而言。

“绝对型编码器”使用某种方式表示并记忆物体的绝对位置,角度和圈数。即一旦位置,角度和圈数固定,什么时候编码器的示值都唯一固定,包括停电后投电。“增量型编码器”

做不到这一点。一般“增量型编码器”输出两个A、B脉冲信号,和一个Z(L)零位信号,A、B脉冲互差90度相位角。通过脉冲计数可以知道位置,角度和圈数增量,通过A,B脉冲信号超前或滞后可以知道方向,停电后,必须从约定的基准重新开始计数。“增量型编码器”表示位置,角度和圈数需要做后处理,重新投电要做“复零”操作,所以,“增量型编码器”

比“绝对型编码器”在价格上便宜许多。

绝对值编码器SSI输出,同时提供了增量值信号A、B两相1Vpp,是派什么用处的?

在我们提供的绝对值编码器,德国的HEIDENHAIN的SSI输出和德国HENGSTLER的SSI输出,都同时提供了增量值信号A、B两相1Vpp正弦波输出,构成了绝对与增量的双输出,很多用户不明白这个增量信号是干什么用的,而剪掉联线废弃不用,真是蛮可惜的。

一。此增量信号可以作为绝对信号的冗余。

二。可以让绝对信号作为位置闭环,而增量信号作为速度闭环,构成位置控制与速度控制的双闭环系统,以达到位置的准确(无位置冲过头而振荡)和速度的高效,这是一个较先进的课题,目前国内似乎还没有看到有很好的应用介绍。

三。增量信号是正弦波信号,其可以用模拟电路细分,这样,在绝对值编码器两个最小相邻码之间,还可以因为相位的变化不同,获得更精细的分辨率,从而可以大大提高绝对值编码器的分辨率。

电子凸轮开关

现在还有一种绝对值、增量值、定位电子凸轮开关三输出的编码器,除了上面介绍的RS485绝对值信号、A/B增量值信号以外,还同时提供了多点定位电子凸轮开关,可预设定位开关,到预设位置可直接输出开关信号,控制减速、停车。这样,这一个绝对值编码器

可同时输出连续绝对值信号显示位置、输出增量值信号作速度闭环、输出定位电子凸轮开关控制减速、定位!

SSI与Biss、Endat、Hipeface:

SSI为同步串联界面(synchronous-serial interface)的英文缩写,其实际为两个RS422通道,利用中断的时钟同步读数,最高时钟速度1.1 MHz.

ssi的数据形式最简单,一般不包含CRC校验、产品内部信息及地址,在运动控制中,有提出更快、信息更多的要求时,各家编码器厂家推出了各自的方案,以海德汉为首的联合西门子公司,推出的是Endat;以宝马集团及亨斯乐推出的是Biss(有个Biss协会);以STEGMANN为首的推出hipeface.实际上都是在SSI的基础上的改良的,基本物理格式都差不多,RS422(或RS485),由时钟脉冲触发,只是速度更快,可达2-10MHZ,并可增加编码器的内部信息、CRC校验、故障报警的功能,有的可以增加地址,有的可以增加正余弦增量信号作冗余。由于目前的协议不同一,这些输出都要连接专用的接口,故具体使用,还是建议直接找各自的编码器厂家咨询为好。

就我们使用的经验,除非你对速度及编码器安全有特别的要求,一般还是用SSI通用的好,方便。

绝对型编码器(多圈)与PLC的连接有多种方法,简单介绍几种:

1。SSI或各种总线连接,缺点是要用专用SSI接口或总线模块,有的PLC还没有,成本较高。

2。并行连接,进PLC的开关输入模块,但多圈的位数高,要十几、二十几根线缆,可靠性降低,成本上去了。

3。4--20mA(选择有模拟量输出功能的绝对值多圈编码器)进模拟量电流模块,缺点,精度有所牺牲。

4。MODBUS RTU进485通讯接口(要有双向功能的),缺点:要专门编程,速度可能降低,有时设备地址会丢。

一般的单圈位数低的用第二种方法。而多圈的要看应用了,简单点的用4--20mA的方法。

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

编码器使用教程与测速原理

编码器使用教程与测速原理 我们将通过这篇教程与大家一起学习编码器的原理,并介绍一些实用的技术。 1.编码器概述 编码器是一种将角位移或者角速度转换成一连串电数字脉冲的旋转式传感器,我们可以通过编码器测量到底位移或者速度信息。编码器从输出数据类型上分,可以分为增量式编码器和绝对式编码器。 从编码器检测原理上来分,还可以分为光学式、磁式、感应式、电容式。常见的是光电编码器(光学式)和霍尔编码器(磁式)。 2.编码器原理 光电编码器是一种通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。光电编码器是由光码盘和光电检测装置组成。光码盘是在一定直径的圆板上等分地开通若干个长方形孔。由于光电码盘与电动机同轴,电动机旋转时,检测装置检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。 霍尔编码器是一种通过磁电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。霍尔编码器是由霍尔码盘和霍尔元件组成。霍尔码盘是在一定直径的圆板上等分地布置有不同的磁极。霍尔码盘与电动机同轴,电动机旋转时,霍尔元件检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。

可以看到两种原理的编码器目的都是获取AB相输出的方波信号,其使用方法也是一样,下面是一个简单的示意图。 3.编码器接线说明 具体到我们的编码器电机,我们可以看看电机编码器的实物。 这是一款增量式输出的霍尔编码器。编码器有AB相输出,所以不仅可以测速,还可以辨别转向。根据上图的接线说明可以看到,我们只需给编码器电源5V供电,在电机转动的时候即可通过AB相输出方波信号。编码器自带了上拉电阻,所以无需外部上拉,可以直接连接到单片机IO读取。

编码器基础知识大全

编码器 科技名词定义 中文名称: 编码器 英文名称: coder;encoder 定义: 一种按照给定的代码产生信息表达形式的器件。 应用学科: 通信科技(一级学科);通信原理与基本技术(二级学科)以上内容由全国科学技术名词审定委员会审定公布 编码器 编码器(encoder)是将信号(如比特流)或数据进行编制、转换为可用以通讯、传输和存储的信号形式的设备。编码器把角位移或直线位移转换成电信号,前者称为码盘,后者称为码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电

刷接触导电区或绝缘区来表示代码的状态是"1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是"1”还是"0”,通过"1”和“0”的二进制编码来将采集来的物理信号转换为机器码可读取的电信号用以通讯、传输和储存。 作用 设计图纸 利用电磁感应原理将两个平面型绕组之间的相对位移转换成电信号的测量元件,用于长度测量工具。感应同步器(俗称编码器、光栅尺)分为直线式和旋转式两类。前者由定尺和滑尺组成,用于直线位移测量;后者由定子和转子组成,用于角位移测量。1957年美国的R.W.特利普等在美国取得感应同步器的专利,原名是位置测量变压器,感应同步器是它的商品名称,初期用于雷达天线的定位和自动跟踪、导弹的导向等。在机械制造中,感应同步器常用于数字控制机床、加工中心等的定位反馈系统中和坐标测量机、镗床等的测量数字显示系统中。它对环境条件要求较低,能在有少量粉尘、油雾的环境下正常工作。定尺上的连续绕组

的周期为2毫米。滑尺上有两个绕组,其周期与定尺上的相同,但相互错开1/4周期(电相位差90°)。感应同步器的工作方式有鉴相型和鉴幅型的两种。前者是把两个相位差90°、频率和幅值相同的交流电压U1 和U2分别输入滑尺上的两个绕组,按照电磁感应原理,定尺上的绕组会产生感应电势U。如滑尺相对定尺移动,则U的相位相应变化,经放大后与U1和U2比相、细分、计数,即可得出滑尺的位移量。在鉴幅型中,输入滑尺绕组的是频率、相位相同而幅值不同的交流电压,根据输入和输出电压的幅值变化,也可得出滑尺的位移量。由感应同步器和放大、整形、比相、细分、计数、显示等电子部分组成的系统称为感应同步器测量系统。它的测长精确度可达3微米/1000毫米,测角精度可达1″/360°。 分类 按照工作原理编码器可分为增量式和绝对式两类。 增量式 增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。 绝对式

测速编码器

测速编码器技术参数 电机的位置检测在电机控制中是十分重要的,特别是需要根据精确转子位置控制电机运动状态的应用场合,如位置伺服系统。电机控制系统中的位置检测通常有:微电机解算元件,光电元件,磁敏元件,电磁感应元件等。这些位置检测传感器或者与电机的非负载端同轴连接,或者直接安装在电机的特定的部位。其中光电元件的测量精度较高,能够准确的反应电机的转子的机械位置,从而间接的反映出与电机连接的机械负载的准确的机械位置,从而达到精确控制电机位置的目的。本文主要介绍高精度的光电编码器的内部结构、工作原理与位置检测的方法。 一.光电编码器的介绍: 光电编码器是通过读取光电编码盘上的图案或编码信息来表示与光电编码器相连的电机转子的位置信息的。根据光电编码器的工作原理可以将光电编码器分为绝对式光电编码器与增量式光电编码器,下面我就这两种光电编码器的结构与工作原理做介绍。 1、绝对式光电编码器 绝对式光电编码器如图所示,他是通过读取编码盘上的二进制的编码信息来表示绝对位置信息的。编码盘是按照一定的编码形式制成的圆盘。图1是二进制的编码盘,图中空白部分是透光的,用“0”来表示;涂黑的部分是不透光的,用“1”来表示。通常将组成编码的圈称为码道,每个码道表示二进制数的一位,其中最外侧的是最低位,最里侧的是最高位。如果编码盘有4个码道,则由里向外的码道分别表示为二进制的23、22、21和20,4位二进制可形成16个二进制数,因此就将圆盘划分16个扇区,每个扇区对应一个4位二进制数,如0000、0001、…、1111。 按照码盘上形成的码道配置相应的光电传感器,包括光源、透镜、码盘、光敏二极管和驱动电子线路。当码盘转到一定的角度时,扇区中透光的码道对应的光敏二极管导通,输出低电平“0”,遮光的码道对应的光敏二极管不导通,输出高电

绝对式光电编码器基本构造及特点

绝对式光电编码器基本构造及特点 用增量式光电编码器有可能由于外界的干扰产生计数错误,并且在停电或故障停车后无 法找到事故前执行部件的正确位置。采用绝对式光电编码器可以避免上述缺点。绝对式光电编码器的基本原理及组成部件与增量式光电编码器基本相同,也是由光源、码盘、检测光栅、光电检测器件和转换电路组成。与增量式光电编码器不同的是,绝对式光电编码器用不同的数码来分别指示每个不同的增量位置,它是一种直接输出数字量的传感器。在它的圆形码盘上沿径向有若干同心码道,每条上由透光和不透光的扇形区相间组成,相邻码道的扇区数目是双倍关系,码盘上的码道数就是它的二进制数码的位数,在码盘的一侧是光源,另一侧对应每一码道有一光敏元件;当码盘处于不同位置时,各光敏元件根据受光照与否转换出相应的电平信号,形成二进制数。这种编码器的特点是不要计数器,在转轴的任意位置都可读出一个固定的与位置相对应的数字码。显然,码道越多,分辨率就越高,对于一个具有N 位 二进制分辨率的编码器,其码盘必须有N 条码道。绝对式光电编码器原理如图1-8 所示。 绝对式光电编码器是利用自然二进制、循环二进制(格雷码)、二-十进制等方式进行光 电转换的。绝对式光电编码器与增量式光电编码器不同之处在于圆盘上透光、不透光的线条图形,绝对光电编码器可有若干编码,根据读出码盘上的编码,检测绝对位置。它的特点是:可以直接读出角度坐标的绝对值;没有累积误差;电源切除后位置信息不会丢失;编码器的精度取决于位数;最高运转速度比增量式光电编码器高。 图1-8 绝对式光电编码器原理 1.3.2 码制与码盘 绝对式光电编码器的码盘按照其所用的码制可以分为:二进制码、循环码(格雷码)、 十进制码、六十进制码(度、分、秒进制)码盘等。四位二元码盘(二进制、格雷码)如图1-9 所示。图中黑、白色分别表示透光、不透光区域。

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

测速编码器说明书

BEN测速编码器在智能车舵机控制中的应用2.1 舵机工作原理 舵机在6 V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2 V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6 V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2 舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

倍加福编码器基础讲解

P+F Absolute Rotary Encoder通讯参数设置 型号

1、地址选择和终端电阻1.1站地址 1.2 终端电阻 2、信号和电源线的连接

3、安装GSD文件 GSD文件为电子设备数据库文件,是可读的ASCII码文件。不同厂家的PROFIBUS产品集成在一起,生产厂家必须以GSD文件方式提供这些产品的功能参数,例如I/O点数、诊断信息、传输速率、时间监视等。在Step 7 的SIMATIC 管理器中打开硬件组态工具HW Config ,安装GSD后,在右边的硬件目录PROFIBUS DP→Additional Field Devices→Encoders→ENCODER将会出现刚刚安装的P+F Rotary Encoder。其数据传输原理如图所示。 4、组态通讯参数

在Step 7硬件配置窗口中,双击P+F Rotary Encoder 图标,打开编码器(DP Slave)的参数设置窗口,如图所示。结合工程实际,在此窗口中进行参数设置: a、代码顺序(Code Sequence):计数方向, CW(顺时针旋转,代码增加),CCW (逆时针旋转,代码增加); b、标定功能控制(Scaling function control):只有设置成Enable ,下面 c、d和e的设置才会生效; c、单圈分辨率(Measuring units per revolution):8192; d、测量范围高位(Total measuring range(units)hi): 512; e、测量范围低位(Total measuring range(units)lo): 0; f、其它参数采用默认值。 注:1、由c可以计算出编码器每圈产生(=8192)个二进制码,即单圈精度为13位。2、由d和e可以计算出编码器最大可以转(=512×65536+0)圈,即多圈精度为12位。 5、预置值 6、LED状态灯指示信息

编码器测速

飞思卡尔智能车舵机和测速的控制设计与实现 时间:2010-04-1411:53:10来源:电子设计工程作者:雷贞勇谢光骥五邑大学 2.1舵机工作原理 舵机在6V电压下正常工作,而大赛组委会统一提供的标准电源输出电压为7.2V,则需一个外围电压转换电路将电源电压转换为舵机的工作电压6V。图2为舵机供电电路。 舵机由舵盘、位置反馈电位计、减速齿轮组、直流动电机和控制电路组成,内部位置反馈减速齿轮组由直流电动机驱动,其输出轴带动一个具有线性比例特性的位置反馈电位器作为位置检测。当电位器转角线性地转换为电压并反馈给控制电路时,控制电路将反馈信号与输入的控制脉冲信号相比较,产生纠正脉冲,控制并驱动直流电机正向或反向转动,使减速齿轮组输出的位置与期望值相符。从而达到舵机精确控制转向角度的目的。舵机工作原理框图如图3所示。 2.2舵机的安装与调节 舵机的控制脉宽与转角在-45°~+45°范围内线性变化。对于对速度有一定要求的智能车,舵机的响应速度和舵机的转向传动比直接影响车模能否以最佳速度顺利通过弯道。车模在赛道上高速行驶,特别是对于前瞻性不够远的红外光电检测智能车,舵机的响应速度及其转向传动比将直接影响车模行驶的稳定性,因此必须细心调试,逐一解决。由于舵机从执行转动指令到响应输出需占用一定的时间,因而产生舵机实时控制的滞后。虽然车模在进入弯道时能够检测到黑色路线的偏转方向,但由于舵机的滞后性,使得车模在转弯过程中时常偏离跑道,且速度越快,偏离越远,极大限制车模在连续弯道上行驶的最大时速,使得车模全程赛道速度很难进一步提高。为了减小舵机响应时间,在遵守比赛规则不允许改造舵机结构的前提下,利用杠杆原理,采用加长舵机力臂的方案来弥补这一缺陷,加长舵机力臂示意图如图4所示。

飞思卡尔光电编码器测速程序

#include /* common defines and macros */ #include /* derivative information */ #pragma LINK_INFO DERIV ATIVE "mc9s12xs128" volatile uint speed_back=0,temp=0; void delay_ms(uint ms) { volatile uint x=0; while(ms--) { for(x=2800;x>0;x--) { _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); } } } //注意外接16M晶体。 //飞思卡尔推荐配置,主频道50MHZ,速度更快! void Init_PLL(void) { CLKSEL = 0X00; //disengage PLL to system PLLCTL_PLLON = 1; //turn on PLL SYNR = (0xc0|0x18); //SYDIV=0X18=24 REFDV = (0x40|0x07); //REFDIV=0X07=7 POSTDIV = 0x00; //pllclock=2*osc*(1+SYDIV)/(1+REFDIV)=100MHz; _asm(nop); //BUS CLOCK=50M _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop); _asm(nop);

基于51单片机的光电编码器测速报告

课程设计报告 课程名称:微机原理课程设计 题目:基于51单片机的光电编码器测速

光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

一、设计任务与要求 (4) 1.1 设计任务 (4) 1.2 设计要求 (4) 二、方案总体设计 (5) 2.1 方案一 (5) 2.2 方案二 (5) 2.3 系统采用方案 (5) 三、硬件设计 (7) 3.1 单片机最小系统 (7) 3.2 液晶显示模块 (7) 3.3 系统电源 (8) 3.4光电编码器电路 (8) 3.5 整体电路 (9) 四、软件设计 (10) 4.1 keil软件介绍 (10) 4.2 系统程序流程 (10) 五、仿真与实现 (12) 5.1 proteus软件介绍 (12) 5.2 仿真过程 (12) 5.3 实物制作与调试 (13) 5.4 使用说明 (14) 六、总结 (15) 6.1 设计总结 (15) 6.2 经验总结 (15) 七、参考文献 (16)

编码器基础

1 编码器基础 1.1光电编码器 编码器是传感器的一种,主要用来检测机械运动的速度、位置、角度、距离和计数等,许多马达控制均需配备编码器以供马达控制器作为换相、速度及位置的检出等,应用范围相当广泛。按照不同的分类方法,编码器可以分为以下几种类型: 根据检测原理,可分为光学式、磁电式、感应式和电容式。 根据输出信号形式,可以分为模拟量编码器、数字量编码器。 根据编码器方式,分为增量式编码器、绝对式编码器和混合式编码器。 光电编码器是集光、机、电技术于一体的数字化传感器,主要利用光栅衍射的原理来实现位移——数字变换,通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。典型的光电编码器由码盘、检测光栅、光电转换电路(包括光源、光敏器件、信号转换电路)、机械部件等组成。光电编码器具有结构简单、精度高、寿命长等优点,广泛应用于精密定位、速度、长度、加速度、振动等方面。 这里我们主要介绍SIMATIC S7系列高速计数产品普遍支持的增量式编码器和绝对式编码器。 1.2增量式编码器 增量式编码器提供了一种对连续位移量离散化、增量化以及位移变化(速度)的传感方法。增量式编码器的特点是每产生一个输出脉冲信号就对应于一个增量位移,它能够产生与位移增量等值的脉冲信号。增量式编码器测量的是相对于某个基准点的相对位置增量,而不能够直接检测出绝对位置信息。 如图1-1所示,增量式编码器主要由光源、码盘、检测光栅、光电检测器件和转换电路组成。在码盘上刻有节距相等的辐射状透光缝隙,相邻两个透光缝隙之间代表一个增量周期。检测光栅上刻有A、B两组与码盘相对应的透光缝隙,用以通过或阻挡光源和光电检测器件之间的光线,它们的节距和码盘上的节距相等,并且两组透光缝隙错开1/4节距,使得光电检测器件输出的信号在相位上相差90°。当码盘随着被测转轴转动时,检测光栅不动,光线透过码盘和检测光栅上的透过缝隙照射到光电检测器件上,光电检测器件就输出两组相位相 差90°的近似于正弦波的电信号,电信号经过转换电路的信号处理,就可以得到被测轴的转角或速度信息。 图1-1增量式编码器原理图

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

编码器基础知识

各种输出形式的旋转编码器与后续设备(PLC、计数器等)接线分别怎么接? ⑴与PLC连接,以CPM1A为例 ①NPN集电极开路输出 方法1:如下图所示 这种接线方式应用于当传感器的工作电压与PLC的输入电压不同时,取编码器晶体管部分,另外串入电源,以无电压形式接入PLC。但是需要注意的是,外接电源的电压必须在DC30V以下,开关容量每相35mA以下,超过这个工作电压,则编码器内部可能会发生损坏。 具体接线方式如下:编码器的褐线接编码器工作电压正极,蓝线接编码器工作电压负极,输出线依次接入PLC的输入点,蓝线接外接电源负极,外接电源正极接入PLC的输入com端。 方法2:编码器的褐线接电源正极,输出线依次接入PLC的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 ②电压输出 接线方式如图所示:

具体接线方式如下:编码器的褐线接电源正极,输出线依次接入PLC 的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 不过需要注意的是,不能以下图方式接线。 ③PNP集电极开路输出 接线方式如下图所示: 具体接线方式如下:编码器的褐线接工作电压正极,蓝线接工作电压负极,输出线依次接入PLC的输入com端,再从电源负极端拉根线接入PLC的输入com端。 ④线性驱动输出 具体接线如下:输出线依次接入后续设备相应的输入点,褐线接工作电压的正极,蓝线接工作电压的负极。 ⑵与计数器连接,以H7CX(OMRON制)为例 H7CX输入信号分为无电压输入和电压输入。 ①无电压输入: 以无电压方式输入时,只接受NPN输出信号。 NPN集电极开路输出的接线方式如下:

基于51单片机的光电编码器测速

摘要 光电编码器是高精度位置控制系统常用的一种位移检测传感器。在位置控制系统中,由于电机既可能正转,也可能反转,所以要对与其相连的编码器输出的脉冲进行计数,要求相应的计数器既能实现加计数,又能实现减计数,即进行可逆计数。其计数的方法有多种,包括纯粹的软件计数和硬件计数。文中分别对这两种常用的计数方法进行了分析,对其优缺点进行了对比,最后提出了一种新的计数方法,利用80C51单片机内部的计数器实现对光电编码器输出脉冲的加减可逆计数,既节省了硬件资源,又能得到较高的计数频率。本设计就是由单片机STC89C52RC芯片,光电编码器和1602液晶为核心,辅以必要的电路,构成了一个基于51单片机的光电编码器测速器。该系统有两个控制按键,分别用于控制每秒的转速和每分钟的转速,并将速度用1602液晶显示出来。该测速器测速精准,具有实时检测的功能,操作简单。 关键词:光电编码器,51单片机,C语言,1602液晶

目录 一、设计任务与要求 (3) 1.1 设计任务 (3) 1.2 设计要求 (3) 二、方案总体设计 (4) 2.1 方案一 (4) 2.2 方案二 (4) 2.3 系统采用方案 (4) 三、硬件设计 (6) 3.1 单片机最小系统 (6) 3.2 液晶显示模块 (6) 3.3 系统电源 (7) 3.4光电编码器电路 (7) 3.5 整体电路 (8) 四、软件设计 (9) 4.1 keil软件介绍 (9) 4.2 系统程序流程 (9) 五、仿真与实现 (11) 5.1 proteus软件介绍 (11) 5.2 仿真过程 (11) 5.3 实物制作与调试 (12) 5.4 使用说明 (13) 六、总结 (14) 6.1 设计总结 (14) 6.2 经验总结 (14) 七、参考文献 (15)

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

编码器入门学习

编码器入门学习 编码器的工作原理简介 绝对脉冲编码器:APC 增量脉冲编码器:SPC 两者一般都应用于速度控制或位置控制系统的检测元件. 旋转编码器是用来测量转速的装置。它分为单路输出和双路输出两种。技术参数主要有每转脉冲数(几十个到几千个都有),和供电电压等。单路输出是指旋转编码器的输出是一组脉冲,而双路输出的旋转编码器输出两组相位差90度的脉冲,通过这两组脉冲不仅可以测量转速,还可以判断旋转的方向。 增量型编码器与绝对型编码器的区分 编码器如以信号原理来分,有增量型编码器,绝对型编码器。 增量型编码器 (旋转型) 工作原理: 由一个中心有轴的光电码盘,其上有环形通、暗的刻线,有光电发射和接收器件读取,获得四组正弦波信号组合成A、B、C、D,每个正弦波相差90度相位差(相对于一个周波为360度),将C、D信号反向,叠加在A、B两相上,可增强稳定信号;另每转输出一个Z相脉冲以代表零位参考位。 由于A、B两相相差90度,可通过比较A相在前还是B相在前,以判别编码器的正转与反转,通过零位脉冲,可获得编码器的零位参考位。 编码器码盘的材料有玻璃、金属、塑料,玻璃码盘是在玻璃上沉积很薄的刻线,其热稳定性好,精度高,金属码盘直接以通和不通刻线,不易碎,但由于金属有一定的厚度,精度就有限制,其热稳定性就要比玻璃的差一个数量级,塑料码盘是经济型的,其成本低,但精度、热稳定性、寿命均要差一些。 分辨率—编码器以每旋转360度提供多少的通或暗刻线称为分辨率,也称解析分度、或直接称多少线,一般在每转分度5~10000线。 信号输出: 信号输出有正弦波(电流或电压),方波(TTL、HTL),集电极开路(PNP、NPN),推拉式多种形式,其中TTL为长线差分驱动(对称A,A-;B,B-;Z,Z-),HTL也称推拉式、推挽式输出,编码器的信号接收设备接口应与编码器对应。 信号连接—编码器的脉冲信号一般连接计数器、PLC、计算机,PLC和计算机连接的模块有低速模块与高速模块之分,开关频率有低有高。 如单相联接,用于单方向计数,单方向测速。 A.B两相联接,用于正反向计数、判断正反向和测速。 A、B、Z三相联接,用于带参考位修正的位置测量。 A、A-, B、B-,Z、Z-连接,由于带有对称负信号的连接,电流对于电缆贡献的电磁场为0,衰减最小,抗干扰最佳,可传输较远的距离。 对于TTL的带有对称负信号输出的编码器,信号传输距离可达150米。 对于HTL的带有对称负信号输出的编码器,信号传输距离可达300米

光电编码器测速

实验三光电传感器转速测量实验 实验目的 1.通过本实验了解和掌握采用光电传感器测量的原理和方法。 2.通过本实验了解和掌握转速测量的基本方法。 实验原理 直接测量电机转速的方法很多,可以采用各种光电传感器,也可以采用霍尔元件。本实验采用光电传感器来测量电机的转速。 由于光电测量方法灵活多样,可测参数众多,一般情况下又具有非接触、高精度、高分辨率、高可靠性和相应快等优点,加之激光光源、光栅、光学码盘、CCD器件、光导纤维等的相继出现和成功应用,使得光电传感器在检测和控制领域得到了广泛的应用。光电传感器在工业上的应用可归纳为吸收式、遮光式、反射式、辐射式四种基本形式。图3.31说明了这四种形式的工作方式。 图3.31 光电传感器的工作方式 图3.32直射式光电转速传感器的结构图 直射式光电转速传感器的结构见图3.32。它由开孔圆盘、光源、光敏元件及缝隙板等组成。开孔圆盘的输入轴与被测轴相连接,光源发出的光,通过开孔圆盘和缝隙板照射到光敏元件上被光敏元件所接收,将光信号转为电信号输出。开孔圆盘上有许多小孔,开孔圆盘旋转一周,光敏元件输出的电脉冲个数等于圆盘的开孔数,因此,可通过测量光敏元件输出的脉冲频率,得知被测转速,即 n=f/N 式中:n - 转速f - 脉冲频率N - 圆盘开孔数。 反射式光电传感器的工作原理见图3.33,主要由被测旋转部件、反光片(或反光贴纸)、

反射式光电传感器组成,在可以进行精确定位的情况下,在被测部件上对称安装多个反光片或反光贴纸会取得较好的测量效果。在本实验中,由于测试距离近且测试要求不高,仅在被测部件上只安装了一片反光贴纸,因此,当旋转部件上的反光贴纸通过光电传感器前时,光电传感器的输出就会跳变一次。通过测出这个跳变频率f,就可知道转速n。 n=f 如果在被测部件上对称安装多个反光片或反光贴纸,那么,n=f/N。N-反光片或反光贴纸的数量。 图3.33 反射式光电转速传感器的结构图 实验仪器和设备 1. 计算机 n台 2. DRVI快速可重组虚拟仪器平台 1套 3. 并口数据采集仪(DRDAQ-EPP2)1台 4. 开关电源(DRDY-A)1台 5. 光电转速传感器(DRHYF-12-A) 1套 6. 转子/振动实验台(DRZZS-A)/(DRZD-A) 1 台 实验步骤及内容 1.光电传感器转速测量实验结构示意图如图3.34所示,按图示结构连接实验设备, 其中光电转速传感器接入数据采集仪A/D输入通道。 图3.34 转速测量实验结构示意图 2.启动服务器,运行DRVI程序,点击DRVI快捷工具条上的“联机注册”图标,选择 其中的“DRVI采集仪主卡检测”进行服务器和数据采集仪之间的注册。联机注册成功后,从DRVI工具栏和快捷工具条中启动“内置的Web服务器”,开始监听8500端口。 3.打开客户端计算机,启动计算机上的DRVI程序,然后点击DRVI快捷工具条上的“联 机注册”图标,选择其中的“DRVI局域网服务器检测”,在弹出的对话框中输入服务器IP地址(例如:192.168.0.1),点击“发送”按钮,进行客户端和服务器之间的认证,认证完毕即可正常运行客户端所有功能。 4.在收藏菜单栏中选中“实验指导书”菜单项打开WEB版实验指导书,在实验目录中

相关主题
文本预览
相关文档 最新文档