当前位置:文档之家› 基于单片机的三路抢答器的设计王辉

基于单片机的三路抢答器的设计王辉

基于单片机的三路抢答器的设计王辉
基于单片机的三路抢答器的设计王辉

专业资料

基于单片机的三路抢答器的设计

1课程设计的任务与要求

1.1 课程设计的任务

(1)设计一个可供3人进行的抢答器。

(2)系统设置复位按钮,按动后,重新开始抢答。

(3)抢答器开始时数码管显示序号00,选手抢答实行优先显示,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响,并且不出现其他抢答者的序号。

(4)抢答器具有定时抢答功能,且一次抢答的时间有主持人设定,本抢答器的时间设定为30秒,当主持人启动“开始”开关后,定时器开始减计时。

(5)设定的抢答时间内,选手可以抢答,这时定时器停止工作,显示器上显示选手的号码和抢答时间。并保持到主持人按复位键。

1.2 课程设计的要求

(1)基于单片机的三路抢答器的设计,并用Proteus设计与仿真出来。

(2)程序用Keil编程出来,并且生成Hex文件。

(3)设计的方案要能够长期,有效,稳定的运行。

(4)力求简单实用。

1.3 课程设计的研究基础

本设计是以三路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答时间和回答问题时间倒记时显示,满时后系统计时手动复位。

2 基于单片机三路抢答器系统方案制定

2.1 方案提出

方案一:

图1 方案一设计方案

方案二:

图2 方案二设计方案

2.2 方案比较

第一个方案比第二个方案多了一个驱动电路,所以第一个方案的电路会比较复杂。

2.3 方案论证

该系统采用51系列单片机AT89C52作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。由于用了单片机,使其技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。

2.4 方案选择

通过以上两个方案的比较,选择第二个方案。

3 基于单片机三路抢答器系统方案设计

3.1各单元模块功能介绍及电路设计

3.1.1振荡电路的设计

AT89C52内部有一个用于构成片内振荡器的高增益反相放大器, 振荡器产生的信号送到CPU, 作为CPU的时钟信号,驱动CPU产生执行指令功能的机器周期。引脚XTAL1和XTAL2是此放大器的输人端和输出端。这个放大器与作为反馈元件的片外石英晶体或陶瓷谐振器一起可构成一个自激振荡器, 振荡电路的连接如图所示图3所示,外接石英晶体或陶瓷谐振器以及电容C1和C2构成并联谐振电路, 接在放大器的反馈回路中。

图3 振荡电路

3.1.2 复位电路的设计

单片机复位是使CPU和系统中的其他功能部件都处在一个确定的初始状态, 并从这个状态开始工作。无论是在单片机刚开始接上电源时, 还是断电后或者发生故障后都要复位。89系列单片机的复位信号是从RST引脚输人到芯片的施密特触发器中的。当系统处于正常工作状态时, 且振荡器稳定后, 如果RST引脚有一个高电平并维持2个机器周期(24个振荡周期), 则CPU就可响应并且将系统复位。复位分为手动复位和上电复位。本设计系统采用的是手动复位, 当按下按钮时, 即使人的动作很快, 也会使按钮保持通达数十毫秒,所以, 手动复位能确保复位时间要求。复位电路连接如图4。

图4 复位电路

3.1.3 计时和组号显示的设计

计时部分由一个共阴极四位八段数码管前二位构成。时间显示是由一个共阴极四位八段数码管前二位构成,组号显示是由一个共阴极四位八段数码管后二位构成,通过P0口直接对其控制。电路图如下:

图5 显示电路

3.1.4 选手按键的设计

三位选手通过按键产生的电平下降沿信息送至P2.0~P2.2口,然后再经由主控制模块处理锁存,最后在数码管上显示最早按下的选手号。电路图如下:

图6 选手按键

3.1.5 主持人控制电路的设计

主持人控制部分由一个开始键组成。开始按键通过外部中断送信息给控制模块,电路图如下:

图7 主持人控制电路

3.1.6蜂鸣器电路设计

P3.4口控制的蜂鸣器主要是提醒选手答题时间到。电路图如下:

图8 蜂鸣器电路

3.2电路参数的计算及元器件的选择

电容C1,C2都是30uF,C3是100n。电阻R1,R2都是10K.

3.3 特殊器件的介绍

7段LED数码管是利用7个LED(发光二极管)外加一个小数点的LED组合而成的显示设备,可以显示0~9等10个数字和小数点,使用非常广泛。数码管可以分为共阳极与共阴极两种,共阳极就是把所有LED的阳极连接到共同接点com,而每个LED的阴极分别为a、b、c、d、e、f、g及h(小数点);共阴极则是把所有LED的阴极连接到共同接点com,而每个LED的阳极分别为a、b、c、d、e、f、g及h(小数点)。

图9 数码管原理图

我用的是共阴极。

3.4 系统整体电路图

图10 总电路图

4 基于单片机三路抢答器系统仿真和调试

4.1 仿真软件介绍

Proteus软件是Labcenter Electronics公司的一款电路设计与仿真软件,它包括ISIS、ARES等软件模块,ARES模块主要用来完成PCB的设计,而ISIS模块用来完成电路原理图的布图与仿真。Proteus的软件仿真基于VSM技术,它与其他软件最大的不同也是最大的优势就在于它能仿真大量的单片机芯片,比如MCS-51系列、PIC系列等等,以及单片机外围电路,比如键盘、LED、LCD等等。通过Proteus软件的使用我们能够轻易地获得一个功能齐全、实用方便的单片机实验室。

4.2 系统仿真实现

根据设计的方案,在Proteus中画出相应的原理图,在Keil中把所需程序生成HEX 文件,导入到原理图中的单片机中,就可以实现仿真了。步骤如下:

(1)Keil创建文件步骤:

1)新建项目文件。点击Project 菜单,选择弹出的下拉式菜单中的New Project。在“文件名”中输入您的第一个C 程序项目名称,“*****.uv2”。“保存”后的文件扩展名为uv2,这是KEIL uVision2 项目文件扩展名,以后可以直接点击此文件以打开

先前做的项目。

2)选择所要的单片机,常用Ateml 公司的AT89C51。

3)在项目中创建新的程序文件。点击新建文件的快捷按钮,出现一个新的文字编辑窗口,这个操作也可以通过菜单File-New 或快捷键Ctrl+N 来实现。好了,现在可以编写程序了。

4)点击保存新建的程序,*****.c,保存在项目所在的目录中,这时会发现程序单词有了不同的颜色,说明KEIL的C 语法检查生效了。

5)将程序文件加到项目中。在屏幕左边的Source Group1 文件夹图标上右击弹出菜单,在这里可以做在项目中增加减少文件等操作。选“Add File to Group ‘Source Group 1’”弹出文件窗口,选择刚刚保存的文件,按ADD 按钮,关闭文件窗,程序文件加到项目中。这时在Source Group1 文件夹图标左边出现了一个小+号说明,文件组中有了文件,点击它可以展开查看。

6)设定创建HEX文件选项。

7)编译运行。

8)查错并直至无错误。

(2)Proteus仿真步骤:

1)创建文件并命名“****.dsn”。

2)放置元件,设定好参数,并连接电路图。

3)双击单片机芯片,添加“****.hex”文件。

4)仿真运行。

5)检查错误直至达到项目要求。

4.3 系统测试

没有按开始键时:

图11 开始显示按开始键没有人抢答时:

图12 没人抢答显示按开始键有人抢答时:

图13 有人抢答显示

30秒内一直没有人抢答时:

图14 30秒后没人抢答

按复位键时:

图15 按复位键

4.4 数据分析

主持人按键来控制总开关,主持人按下开关那么选手开始抢答,此时数码管开始30—0计数,并且选手们必须在规定的时间内进行抢答,若过了30秒还没抢答那么抢答失效,选手们若有一个在规定的时间内抢答成功则其余的选手不可以再抢答,即该选手抢答成功。

最小系统的电路不工作,首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否符合电源电压,常用的是5V左右。接下来就是检测复位引脚的电压是否正常,EA引脚的电压要正常为5V左右。

5 总结

5.1 设计小结

通过此次的课程设计,让我更进一步的巩固了单片机的各种知识。但在设计的过程中,遇到了很多的问题,有一些知识已经不太清楚了,但是通过一些资料又重新的翻阅并且认真的复习了相关教科书中的内容。此次的课程设计树立了对自己工作能力的信心,相信会对今后的学习工作生活都有非常重要的影响,而且大大的提高了动手能力,使我充分的体会到了创造过程中的探索的艰难和成功的喜悦。虽然这次做出的作品还存在很多需要改进的地方,但是在整个设计过程中所学习到的东西是这次实训所得到的最大收获跟财富,使我终身受益。

5.2 收获体会

经过近两个月的努力,在老师和同学的讨论和帮助下,我成功地完成了三路抢答器的设计,通过此次课程设计,我重新认识到了对书本上的知识要独立运用的道理。在抢答器设计过程中,发现了很多细节性的问题,也出现了很多错误,经过和同学们研究、商讨最后都解决,感觉团队协作能力是非常有必要的!通过此次的抢答器的设计,让我重新拾起了以前所学习的电子知识和C语言的编程,也使我加深了对单片机及接口技术的理解和应用,由于知识水平的局限,设中可能会存在着一些不足,我真诚的接受老师和同学的批评和指正。

5.3 展望

本设计可以对抢答器扩展的功能有:

(1)可以将该抢答器扩展抢答定时功能,添加定时中断可以比较精确的设置延时。

(2)可以对该抢答器扩展多个选手抢答,这样可以对抢答器不受3位的限制。

(3)可以对该对抢答器加上其他功能,如停止键,加时间,减时间键。

6参考文献

[1] 郭天祥主编. 新概念51单片机C语言教程:入门、提高、开发、拓展全攻略. 北京:电子工业出版社,2008:108-120.

[2]侯玉宝等主编.基于Proteus的51系列单片机设计与仿真.北京:电子工业出版社,2008.9.

[3]谢维成,杨加国主编.单片机原理与应用及C51程序设计.北京:清华大学出版社,2009.7. 7附录

1系统流程图

图 16

图 17

图 18

2器件清单

表1 器件清单

排阻 1

电阻10K 2

电容20PF 3

晶振12MHZ 1

按钮 5 共阴4位8段数码管 1 扬声器 1

三极管 1

#include

#include

#define uchar unsigned char

#define uint unsigned int

int a,b,c,d;//数码管数字定义

uint l,l2,n,m,lsd;

sbit s1=P3^5;//控制键定义

sbit d1=P2^0;

sbit d2=P2^1;

sbit d3=P2^2;//抢答键定义

sbit w1=P2^4;

sbit w2=P2^5;

sbit w3=P2^6;

sbit w4=P2^7;

sbit feng=P3^4;//蜂鸣器

uchar code table[]={

0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71,

0x76,0x79,0x38,0x3f,

0x40,0x00};

void display(uchar,uchar,uchar,uchar); void display2(uchar,uchar,uchar,uchar); void delay(uint z)

{

uint t1,y;

for(t1=z;t1>0;t1--)

for(y=110;y>0;y--);

void main()

{a=3;

b=c=d=0;

lsd=0x0f;

w1=w2=w3=w4=1;

TMOD=0x01;

TH0=(65536-10000)/256;

TL0=(65536-10000)%256;

EA=1;

ET0=1;

while(1)

{display(a,b,c,d);

if(s1==0)

{delay(1);

if(s1==0)

{

TR0=1;

s1=0;

if(d1==0)

{P1=0xfe;

m=0;

while( ((a!=0)||(b!=0)||(c!=0)||(d!=0)))

{TR0=0;

display2(a,b,20,1);

m++;

if(m<=300)

feng=0;

else

feng=1;

}

if(d2==0)

{P1=0xfd;

m=0;

while( ((a!=0)||(b!=0)||(c!=0)||(d!=0)))

{TR0=0;

display2(a,b,20,2);

m++;

if(m<=300)

feng=0;

else

feng=1;

}

}

if(d3==0)

{P1=0xfb;

m=0;

while( ((a!=0)||(b!=0)||(c!=0)||(d!=0)))

{TR0=0;

display2(a,b,20,3);

m++;

if(m<=300)

feng=0;

else

feng=1;

}

}

}}

void display(uchar qi,uchar ba,uchar sh,uchar g) {

P0=0xff;

w1=0;

P0=table[qi];

delay(1);

w1=1;

P0=0xff;

w2=0;

P0=table[ba]|0x80;

delay(1);

w2=1;

P0=0xff;

w3=0;

P0=table[sh];

delay(1);

w3=1;

P0=0xff;

w4=0;

P0=table[g];

delay(1);

w4=1;

P0=0xff;

}

void display2(uchar qi,uchar ba,uchar sh,uchar g) {

P0=0xff;

w1=0;

P0=table[qi];

delay(1);

w1=1;

P0=0xff;

w2=0;

P0=table[ba];

delay(1);

w2=1;

P0=0xff;

w3=0;

P0=table[sh];

delay(1);

w3=1;

P0=0xff;

w4=0;

P0=table[g];

delay(1);

w4=1;

P0=0xff;}

void timer0() interrupt 1 { TH0=(65536-10000)/256;

TL0=(65536-10000)%256;

d--;

if(d==-1)

{P1=lsd;

lsd=_crol_(lsd,1);

d=9;

c--;

if(c==-1)

{

c=9;

b--;

if(b==-1)

{b=9;

a--;

if(a==-1)

{a=b=c=d=0;

TR0=0;

feng=0; }}} } }

单片机六路抢答器C语言程序

单片机六路数显计时抢答器c语言程序 #include <> #define uint unsigned int #define uchar unsigned char unsigned char code table[]={0xc0,0xf9,0xa4, 0xb0,0x99, 0x92,0x82,0xf8,0x80,0x90,0xc0}; sbit start=P3^6; 》 sbit reset=P3^7; sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; < sbit key8=P1^7; sbit jia=P3^4; sbit jian=P3^5; bit action = 0; uchar second=10,a[7]={0}; uchar timer0_count = 0; uchar number=0; uchar number_display = 0; ( uchar k; void delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=120;y>0;y--); } void display(uchar number,uchar second) # { P2 = 0x01; P0 = table[second]; delay(1); P2 = 0x02; P0 = table[number]; delay(1); P2 = 0x04; % P0 = table[a[0]]; delay(1); P2 = 0x08; P0 = table[a[1]]; delay(1); P2 = 0x10; P0 = table[a[2]]; delay(1); ' P2 = 0x20; P0 = table[a[3]];

三路抢答器设计

数字电路课程设计 一、设计任务和要求: 1. 抢答器同时供3名选手抢答,分别用3个按钮D1、D2、D3表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数 码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人 将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为8秒。当主持人启动"开始"键后, 定时器进行计时(0-7)。 5.主持人没有闭合开关之前,有人抢答,数码管显示对应的选手编号,且红灯亮,当 所有选手闭合自己对应的开关时,编号数码管清为0。 6. 参赛选手在设定的时间内进行抢答,抢答有效,计数器停止工作,显示器上显示 选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。 7. 如果定时时间已到,无人抢答,本次抢答无效,定时显示器上显示8,且黄灯亮以 示警告时间已到,若有人抢答,显示抢答人组号并锁存,直到主持人将系统清零, 即黄灯灭,两个数码管显示都为0。

二、 实验框图: 1、设计方案 : 抢答器具有锁存、定时、显示功能。抢答之前,两个数码管显示为0,即主持人没有按下开始按钮之前,有人抢答,亮红灯给以警告,数码管显示对应的选手编号,当选手闭合自己的开关时,对应数码管显示为0;当主持人按下开始按钮后,进行抢答,若有人抢答,锁存器锁存选手号和抢答时的时间,同时亮绿灯,直到主持人清零,系统才能再次正常工作;如果时间已到,没有人回答,黄灯亮,即在8秒的时刻,如果有选手抢答,锁存器锁存选手号,数码管并将选手号显示出来,直到主持人清零。 2、系统框图 : 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行计时,并通过译码器在数码管中显示。当某选手按开关键时,通过控制电路控制锁存器的使能端,并在锁存器中锁存,在输出端产生相应的开关电平信息,然后在译码器中译码,将编码器输出的8421BCD 转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。 161全加器 抢答按钮 75锁存器 48译码器 显示译码 555秒脉冲产生器器 48译码器 显示译码 控制电路 主持人开关 报警灯

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

基于51单片机的6路抢答器

摘要 此次设计选择使用AT89C51单片机为核心的控制元件,设计制作一个简易的抢答器。本设计是以AT89C51单片机作为控制的主要核心,LED显示器,蜂鸣器等六路抢答器的程序,并且利用了单片机的延迟时电路,时钟电路,键复位电路以及定时器/中断等其他电路。六路抢答器的设计特点是让选手应答时间与选手号码实时显示出来,利用复位电路开始新一轮的比赛或者游戏,我们使用的也是我们所掌握的C 语言来进行编程,实现了一些基本功能。 该系统的设计是可行的,以确定准确,简便,强烈的扩展能力。它的体现的功能主要是比赛开始时,主持人读完题目后按下抢答键,语音提示答题开始,提示音结束后开始倒计时,这时数码管开始进行10s 的倒计时,当有选手进行抢答时,选手按下抢答键,这时候数码管显示屏上就会显示出对应答题者的编号以及抢答所剩余的时间。如果10秒计时时间到了还没有人做出抢答,蜂鸣器就会发出声音并且语音提示抢答结束,这一题就作废即所有人均不得分,然后开始新一轮的抢答。在下一轮抢答开始之前按下复位键将时间归零,再按下开始键进行新的一轮。抢答者回答正确后,评审员按下加分键,该选手编号所对应的数码管显示的数字就增加(按一次加一分,最高显示9分)。相反,如果抢答者回答错误,在抢答者分数不为0的情况下,评审员按下减分键,该选手编号所对应的数码管显示数字就减少(按一次减一分,最低显示0分)。 关键词:单片机、AT89C51、抢答器 Abstract:The design options using AT89C51 microcontroller as the core control elements, design a simple Responder. The design is based on the six-way Responder AT89C51 microcontroller as the main core control, LED display, beeper and other procedures, and use of the single-chip delay circuit, clock circuit, key reset circuit and a timer / interrupt other circuits. Six-way Responder design feature is to allow players the response time and the player numbers displayed in real time, using the reset circuit to start a new round of the competition or game, we used our disposal C language programming, to achieve some basic functions. The design of the system is feasible to determine the accurate, simple, strong expansion capability. Its main function is to reflect the start of the game, the host title after reading press answer key, voice

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

简易三路抢答器课程设计报告书

目录 简要............................................ . (2) 1绪论 (3) 2总体方案设计 (4) 3电路图 (5) 3.1四D触发器74LS175 (6) 3.2与非门74LS20(逻辑器件) (7) 3.3与非门74LS00(集成PLC) (8) 3.4或非门74LS54(逻辑器件) (9) 3.5双上升沿D触发器74LS74 (12) 3.6多谐振荡器 (13) 3.7由74LS74构成的四分频电路 (14) 4 主要器件及重要元件的功能介绍............15 4.1 D触发器.............................16

4.2与非门...............................174.3或非门................................184.4电阻................................194.5电容.....................................4.5发光二极管.............................4.6 开关....................................4.7三极管..................................4.8蜂鸣器............................... 5总电路原理图........................... 6 心得与体会.............................7.参考资料............................8.附录计算及其补充知识..............

六路抢答器论文

六路数字抢答器设计方法 王亚静 摘要:有许多比赛活动中为了准确、公正、直观的判断出第一抢答者,通常设置一台抢答器,通过灯光等手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录等多种功能。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,开始抢答,如有参赛者按抢答开关,则该组指示灯亮显示出抢答者的组别。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 关键词:第一抢答者 抢答器 锁存 复位 自锁功能 一.设计任务与技术要求 1、设计任务 设计制作一个可供6组选手参加比赛的数字式竞赛抢答器。 2、技术要求 (1) 抢答器同时供6名选手或6个代表队比赛,分别用6个按钮S1、S2、S3、S4、S5、S6表示。 (2) 设置一个系统清除和抢答控制开关S0,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即具有第一个抢答信号的鉴别和数据锁存的功能。抢答开始后,若选手按动抢答按钮,锁存相应编号,相应灯亮起,并封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 二.总体方案设计及电路的工作原理: 1. 总体方案 数字式抢答器原理框图如图1所示。它由主体电路组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能亮起相应灯泡同时横封锁输入电路,禁止其他选手抢答。

图1 2.工作原理 工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号二极管灭灯;主持人将开关置“开始”状态,宣布"开始"抢答器工作。选手抢答时,抢答器完成:优先判断、编号锁存、二极管发光显示。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。计数输入由秒脉冲发生器提供。 三.单元电路的设计及电路图 1.抢答电路 抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供显示电路用;二是要使其他选手的按键操作无效。这里选用6D触发器74LS174,其电路图如图2所示。 图2 其工作原理是: 当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S1),74LS174的输出Q1=1,二极管D1发光。此外,Q1=1,经非门过74HC30输出为高电平,74LS174处于禁止状态,封锁其他按键的输入,保证了抢答者的优先性。如有再次抢答需由主持人将 S0开关重新置“清零”, 6D触发器74LS174的CLR端为低电平,输出端(Q1~Q6)全部为低电平,于是二极管灭灯;然后再进行下一轮抢答。 2.反馈电路 这部分电路是用8与非门74HC30和6个非门构成,电路图如图3.

基于80C51单片机的八路抢答器设计分析

专业论文 题目:基于80C51单片机的八路抢答器设 计

摘要:八路智力抢答器是一个可供八个参赛组进行智力竞赛的电路装置,该装置主要是由单片机最小系统、控制电路(八个选手抢答按钮;三个主持人控制按钮;四个修改按钮)、数码显示电路与蜂鸣器电路组成的。单片机(MCU)是目前在电气控制技术中广泛应用的重要元件。它具有体积小,稳定性高,应用范围广,控制能力强,升级改造容易等诸多优点。本论文介绍采用ATMEL公司AT89S52单片机设计八路智能抢答器。软件采用汇编语言编程,汇编语言属于计算机领域的低级语言,具有简明易懂,执行效率高等的优点。智能八路抢答器具有抢答时间与答题时间调整,抢答错误报警提示等功能,可以广泛应用于各类知识竞赛。 关键词:抢答器;单片机;硬件系统;软件编程

基于80C51单片机的八路抢答器设计 一、系统概述与原理方框图 在文中,我对八路抢答器的总体设计及其主要的功能特点进行简单的分析,并给出它的特点,实现的功能以及系统的简单操作,以对单片机及其控制系统的了解。 (一)单片机技术发展的概述与系统问题的提出 目前,单片机正朝着高性能和多品种方向发展,单片机的发展正朝着 CMOS化,低功耗,小体积,大容量,高性能,低价格和外围电路的内装化等 几个方面 发展。近几年,由于某种原因CHMOS技术的进步,大大地促进了单片机的CMOS 化,此种芯片除了低功耗外,还具有功耗的可控性,使单片机可以工作在功 耗精细管理状态,特别是IIC,API等串行总线的引入,可以使单片机的引脚 设计得更少,单片机系统结构更加简化及规范化。 我们设计出的8路抢答器是一种基于MCS-51单片机的硬件和软件设计及 实现方法,这种电路设计具有按键有效提示,输入错误提示,控制报警电路, 在线修改功能等多种功能,保密性强,灵活性高,特别适用于家庭!办公室!学 生宿舍及宾馆等场所。它具有全集成化,智能化,高精度,高性能,高可靠 性和低价格等优点,是一个值得推广的一种方法。接下来我们就对方案与设 计原理方框图进行比较分析。 (二)设计思路与系统组成及主要特点 为了使设计更具有针对性,使用性更强,我对其进行精心的设计,在设 计过程中,我们想到了很多的设计方案。 1.设计思路 设计一个八路抢答器,可同时供8名选手或者8个代表队参加比赛,他 们的编号分别为1——8,各用一个抢答器按钮,按钮的编号与选手的编号相 对应,分别设为S1…S8。节目主持人设置一组控制开关,用来控制系统的清 零和抢答器的开始,修改抢答时间与答题时间,如果想调节抢答时间或答题 时间,按"抢答时间调节"键或"答题时间调节"键进入调节状态。并且抢答器具 有数据锁存和显示的功能,抢答开始,若有选手按动抢答按钮,编号立即锁

三路抢答器课程设计

设计题目 三路抢答器的PLC控制 1 主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 2 具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 3 进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 4 完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6 总评成绩 指导教师签名日期年月日系主任审核日期年月日 目录 绪论 (3) 第一章系统设计内容及要求 (1) 第二章硬件设计 (3) 2.1硬件选取 (3) 2.1.1 三菱PLC可编程控制器 (3) 2.1.2 按钮板块 (3)

基于PLC的六路抢答器系统设计课程设计

课程设计说明书
题目: 基于 PLC 的六路抢答器系统设计

毕业设计(论文)原创性声明和使用授权说明
原创性声明
本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教
师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加
以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研
究成果,也不包含我为获得
及其它教育机构的学位或学历
而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,
均已在文中作了明确的说明并表示了谢意。
作 者 签 名:
日 期:
指导教师签名:
日 期:
使用授权说明
本人完全了解
大学关于收集、保存、使用毕业设计(论
文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电
子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供
目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制
手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分
或全部内容。
作者签名:
日 期:

学位论文原创性声明
本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研 究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文 不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研 究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完 全意识到本声明的法律后果由本人承担。
作者签名:
日期: 年 月 日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保留、使用学位论文的规定,
同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,
允许论文被查阅和借阅。本人授权
大学可以将本学位
论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩
印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名: 导师签名:
日期: 年 月 日 日期: 年 月 日

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

数字逻辑三路抢答器课程设计报告

数字逻辑三路抢答器课程设计 报告 《三路抢答器设计》报告 (2009/2010学年第一学期) 设计题目学生姓 名:— 学生班级:— 学生学号:_ 指导教师:

2008年12月12日 目录 ?、设计题目 (4) [、设计目的 (4) 三、设计要求 (4) 四、设计内容 (5) 五、总体功能框图 (5)

六、单元电路图 (6) 6.1 四D触发器74LS175 (7) 6.2 3输入端3与非门74LS10 ............... 6.3 2输入端4与门74LS08 .................. 6.4 555脉冲发生器 (9) 6.5七段数码显示器L对应的译码表?… 七、总电路图 (11) 八、仿真测试截图 (12) 九、心得体会 (15) 参考文献................... 15.8 8 (10)

一、设计题目 三路抢答器设计 二、设计目的 数字系统课程设计是一门独立课程、有独立学分的实践性教学环节,同“数字逻辑与数字系统”理论讲授课程有密不可分的关系,起着相辅相成的作用,也是在“数字逻辑与数字系统”课的基础上,进一步深化的实践环节。其主要目的是通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,提高学生的动手能力,独立分析、解决问题能力,协调能力和创造性思维能力。提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力,学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法,本课程设计培养、启发学生的创造性思维,进一步理解数字系统的概念,掌握小型数字系统的设计方法,掌握小型数字系统的组装和调试技术,掌握查阅有关资料的技能。基本任务是设计一个小型数字电子系统。 课程设计目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和 调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。另一方面也可使我们更好地巩固和加深对基础知识的理解,学会设计中小型数字 系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。通过实践引导我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个实践的阶段。 三、设计要求 设计一个可容纳1、2、3,三组参赛者的竞赛抢答器,每组设置一个抢答按钮开关 供参加竞赛者使用。电路应具有第一抢答信号的鉴别和锁存功能。在主持人清零发出抢

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

单片机课程设计 八路抢答器(C语言)

单片机课程设计 8路抢答器 指导老师:宋跃 姓名:陈志祥学号:201241311121 班级:12电子卓越班

目录 一绪论 (3) 二基本设计方案 (4) 三具体设计 (5) 四电路板的制作 (7) 五电路功能测试与调试 (7) 六心得体会 (8) 附录 (9)

一绪论 1.1单片机抢答器的背景 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。现在有些工厂的技术人员或其它业余电子开发者搞出来的某些产品,不是电路太复杂,就是功能太简单且极易被仿制。究其原因,可能就卡在产品未使用单片机或其它可编程逻辑器件上。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了更确切的知道哪一组或哪一位选手先抢答到题,必须要有一个系统来完成这个任务。若在抢答中,只靠人的视觉(或者是听觉)是很难判断出哪一组(或哪一个选手)先抢答到题的。利用单片机编程来设计抢答器,可以使以上问题得以解决,即使两组的抢答时间相差几微秒,也能轻松的分辨出哪一组(或哪个选手)先抢答到题的。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 1.2设计要求: 一、基本功能: 1、六路抢答,抢答有效时相应的灯亮,并有音乐提示; 2、每轮抢答需主持人按“开始”后,抢答才有效; 3、抢答无效时,有相应的灯及音乐提示; 二、扩展功能: 1、扩展到8路或以上; 2、抢答倒计时提示; 3、各路的参赛者有得分显示; 4、其他自行增加的功能; 二基本设计方案 2.1 单片机的选择 我选择STC89C52单片机芯片的理由如下: 1、在设计过程中可能会出现很多问题,89C52可重复烧程序; 2、是80C51的增强型,功能与我们所学的51单片机基本相同;

三路抢答器的设计与仿真

《基础强化训练》报告书 题目:三路抢答器 专业班级:电子0903 学生姓名: 指导教师: 武汉理工大学信息工程学院 2011 年7 月8 日

基础强化训练任务书 学生姓名:专业班级: 指导教师:工作单位:武汉理工大学 题目:三路抢答器的PCB板设计 一、训练目的 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 二、训练内容和要求 1、基础课程和基本技能强化训练 (1)设计一个三路抢答器电路; (2)对所设计电路的基本原理进行分析; 2、文献检索与利用、论文撰写规范强化训练 要求学生掌握基本的文献检索方法,科学查找和利用文献资料,同时要求学生获得正确地撰写论文的基本能力,其中包括基本格式、基本排版技巧和文献参考资料的写法、公式编排、图表规范制作、中英文摘要的写法等训练。 3、基本动手能力和知识应用能力强化训练 (1)学习PROTEL软件; (2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 三、初始条件 计算机;Microsoft Office Word 软件;PROTEL软件 四、时间安排 1、2011年7 月11日集中,作基础强化训练具体实施计划与报告格式要求的说明; 学生查阅相关资料,学习电路的工作原理。 2、2011 年7 月11 日,电路设计与分析。 3、2011 年7 月12日至2011 年7 月14日,相关电路原理图和PCB版图的绘制。 4、2011年7 月15日上交基础强化训练成果及报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

六路抢答器设计报告

六路数字抢答器设计报告 目录 一、任务设计和要求 (2) 二、设计方案与论证 (4) 三、电路设计计算与分析 (5) 3.1 主持人控制电路 (5) 3.2 10S倒计时电路 (7) 3.3 控制显示电路 (10) 3.4 主要元器件介绍 (12) 四、总结与心得 (18) 五、附录 (19) 附录一:元器件清单 (19) 附录二:六路抢答器原理图 (20) 附录三:六路抢答器的仿真 (21) 六、参考文献 (22) 1

一、设计任务和要求 六路数字抢答器的设计任务如下: 1.主持人按动启动按钮,抢答开始,同时开始10秒倒计时。 2.6名抢答选手编号分别为1-6,各自控制一个按钮进行抢答,有人按下时扬声器给出声音提示,倒计时电路停止计时,同时显示抢答选手的号码。 3.选用七段LED作为显示器。 4.完成电路的理论设计。 5.参数的计算和有关器件的选择。 6. 对电路进行仿真。 7.撰写设计报告书一份:A3图纸1张。报告书要求写明以下内容:(B5纸) (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (3)仿真过程的实现 课程设计要求如下: 课程设计大体可分成以下三个阶段: 1.设计与计算阶段 学生根据课程设计任务、要求和条件进行总体方案的设计,通过论证和选择,确定总体方案。此后是对方案中单元电路 2

进行选择和设计计算,包括元器件的选用和电路参数的计算。最后画出总体电路图,选用元件一览表。 2.计算机仿真及电路制版 运用仿真软件EWB或MULTISIM对设计电路进行仿真,排除电路故障、调整元器件参数、修改电路,使之达到设计指标要求。最后使用PROTEL软件完成对电路的PCB制版(选作)。 3.撰写设计报告阶段 设计报告是学生对课程设计全过程的系统总结。学生应按规定的格式撰写设计报告。设计报告的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)原理框图,总体电路图、计算机电路仿真图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)收获体会、存在问题和进一步的改进意见等。 3

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

六路抢答器课程设计

湖南大学课程设计报告 课程名称:电子技术课程设计 系部:电气工程系 专业班级:电子科学技术 学生姓名: 指导教师: 完成时间: 2011.06.19 报告成绩:

目录 摘要 3 第一章、设计题目 4 第二章、设计目的 4 第三章、设计要求 4 3.1设计指标 4 3.2设计要求 4 第四章、设计方案与论证 5 第五章、系统具体电路设计及原理 5 5.1抢答器电路的设计 5 5.2定时电路的设计 5 5.3报警电路的设计 (6) 5.4时序控制电路的设计 (6) 第六章、主要元器件介绍 (7) 6.1 74LS48 和74LS192的功能表 (8) 6.2 74LS148 (9) 6.3 74LS279 (10) 6.4 74LS121 (11) 6.5NE555 (11) 第七章、设计采用元件 (13) 第八章、电路设计仿真 (13) 第九章、实验心得 (15) 第十章、参考文献 (16)

摘要 本设计的抢答器是一种比较简易的抢答器,没有使用特别多的复杂的元器件。结合上机动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要完成:设计一个六路抢答器,实现开始一定时间后,开始抢答状态,可以判定是哪个信号抢答的,同时封锁其他信号,如果过了抢答时间,仍然没有抢答或者出现抢答者同时抢答时,那么就报警。这个抢答器设计基本上满足了实际竞赛应用中的各种需要。在实际中有很大的用途。 无论是在学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有各种各样的智力竞赛抢答器,绝大多数是以模拟电路、数字电路或者模拟电路与数字电路相结合的产品。这部分抢答器已相当成熟,但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单。 数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路.经过布线,焊接,调试等工作后数字抢答器成型. 抢答器四周有安装孔,可以方便的安装在操作台上,外接抢答按钮接入相应的接线端子,如果需要外接电铃或指示灯,则接入继电器端子,安装完毕后就可以上电了,抢答器的电流输入为5V直流输入. 抢答器通上电后,蜂鸣器响,三个数码管都显示0,按下复位按钮后进入正常工作状态,这时可以设定抢答倒计时间,只要按动10进制编码按钮分别对时间的十位和个位设定,设定的时间在数码管上实时的显示出来.设定的时间范围为:0~30秒,设定完时间后,就可以按动开始按钮,表示抢答开始,这时蜂鸣器响0.1秒,提示各位选手,抢答已经开始,同时倒计时器开始从设定的时间进行倒计时. 若在抢答时间内有人抢答,则第三个数码管立即显示抢答位号,倒计时间停止倒计时,所用掉的时间就是抢答的时间,同时蜂鸣器响2秒,继电器吸合2秒,表示有人抢答,在这个按键之后按下的按键除了复位键外,其他按键均无效,只有主持人按下复位键后,可以进入下一轮抢答.

相关主题
文本预览
相关文档 最新文档