当前位置:文档之家› C51单片机四路抢答器

C51单片机四路抢答器

C51单片机四路抢答器
C51单片机四路抢答器

目录

一、摘要 (3)

二、方案设计 (4)

2.1、设计要求 (4)

2.2、总体法案设计 (4)

三、硬件电路设计 (5)

3.1、元器件简介 (5)

3.2、独立式按键电路 (7)

3.3、时钟电路设计 (6)

3.4、指示灯电路设计 (7)

四、制作以调试 (8)

4.1系统程序设计 (8)

4.2主程序流程图主程序流程图 (8)

4.3程序清单 (9)

五、心得与体会 (11)

六、参考文献 (12)

一、摘要

单片机作为一种工具,现在已经广泛的应用于智力和只是竞赛场合。本次设计是基于单片机51系列的理论知识综合运用AT89C52单片机设计的简易四路抢答器。利用AT89C52单片机的外围接口来实现抢答系统,利用单片机的定时器/计数器计数和定时的原理,将软、硬件有机的结合起来,使得系统能正确的记时,发光二极管能正常的闪烁。同时系统能够实现:在抢答过程中只有在主持人按下开始抢答键开始之后抢答才有效,如果在开始抢答之前抢答视为无效。在抢答成功之后发光二极管会变成闪烁状态与提示选手开始回答问题。同时还有主持人控制的系统复位键,以实现系统的复位。还有按键锁定,在一个选手抢答成功或者法规状态下其他按键无效。

通过这次的设计,对51系列的单片机的运用有了更深一层次的了解,也提高了我们的动手能力,加深我们对单片机的映像,为我们以后的学习打下一定的基础。

二、方案设计

2.1、设计要求

(1)、总共4个按键,四个供四个选手用作“抢答”操作。

(2)、用1个发光二极管用作开始抢答的指示灯,用4个发光二极管分别显示4个选手的抢答状态。

(3)、开始抢答后,哪个选手抢答键最先按下,该选手的抢答指示灯点亮,表示抢答成功,此时其他选手再按键为无效,抢答成功后开始3秒计时,到时指示灯变为闪烁,以提示选手选手抢答后必须3秒内回答问题。

2.2、总体法案设计

方案:依据课题要求,基于AT89C52单片机制作的抢答器,其最大的好处就是处理快,准确性高、可靠性好、控制功能强。

采用51系列的单片机AT89C52作为控制中心,系统可以完成运算控制、信号的控制以及显示的功能。选手通过按键开光作为输入信号完成输入信号的触发,主持人根据选手的输入信号准确的判断最先按下的选手且屏蔽其他的抢答信号,让选手作答。使用外部中断方式来实现开始抢答,运用按键复位来实现系统的复位,其系统原理框图如下:

图1系统原理框图

三、 硬件电路设计

3.1、元器件简介

AT89C52单片机,低电压高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash 只读程序存储器和256 bytes 的随机存取数据存储器(RAM )。无需扩展外部程序存储器。

如右图(2)其中:

CVV :供电电压。 图2 AT89C52引脚图

GND :接地。 P0口:P0口为一个8位漏级开路双向I/O 口,每脚可吸收8TTL

AT89C52

片机

选手按键

抢答开光 选手抢答指示灯

内部时钟电路

门电流。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。P3口也可作为AT89C51的一些特殊功能口:P3.0 RXD(串行输入口);P3.1 TXD(串行输出口);P3.2 /INT0(外部中断0);P3.3 /INT1(外部中断1);P3.4 T0(记时器0外部输入);P3.5 T1(记时器1外部输入);P3.6 /WR(外部数据存储器写选通);P3.7 /RD(外部数据存储器读选通)。

RST:复位输入。

EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器,不管是否有内部程序存储器。当/EA端保持高电平时,此间内部程序存储器。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

3.2、独立式按键电路

如右图(图3)4个独立式按键K1

—K4分别接在P3口的P3.0—P3.3另外

一端接地。当有一键按下时相应的口线的

电平发生变化,单片机进行扫描确定哪个

键按下。

图3 独立按键模块3.3时钟电路设计

如右图(图4)示,为时钟电路,单片机必须在时钟驱动下才能正常的工作,在单片机内部有一时钟振荡电路,只需外接一个振荡源

就可以一定的时钟信号送到各个

单元,采用12MHz的晶振,其时

钟周期为1/12us,电路中两个电容

C1和C2的作用:一是帮组振荡

器起振;二是对振荡器的频率进行

微调,它们的值都为30PF。

图4时钟模块

3.4指示灯电路设计

图5 数码管模块

上图(图5)为指示灯电路,采用共阳极的方式,为低电平是发光二级管亮,即发光二级管的正极接上500Ω的保护电阻在接到高电平,另外一端接到单片机的P0口的P0.0—P0.4,当其对应的按键按下时,相应的二极管就会被点亮。

四、软件实际

4.1系统程序设计

在抢答过程中,有多个信号输入主电路中,单片机内部的寄存器工作,并识别、记录第一个抢答的人,同时内部的定时器开始工作,记录有关的时间信号,在整个抢答过程中,显示电路还要根据现场的实际情况来相应的显示输入信号。

4.2主程序流程图主程序流程图如下:

4.3程序清单

#include

#include

#define uchar unsigned char

#define uint unsigned int

sbit K1 = P3^0; sbit K2 = P3^1;

sbit K3 = P3^2; sbit K4 = P3^3;//K1~K4分别对应S17~S20 sbit BEEP = P3^5; //蜂鸣器控制端口P35

uchar temp;

void beep(); //蜂鸣器

void delay0(uchar x); //x*0.14MS

/******************************************/

/* 延时子程序*/

/******************************************/

void delay1(uchar x)

{ uchar j;

while((x--)!=0)

{ for(j=0;j<125;j++)

{;}

}

}

void delay(uint ms)

{

uchar t;

while(ms--)

{

for(t = 0; t < 120; t++);

}

}

void beep()

{

unsigned char i;

for (i=0;i<100;i++)

{

delay0(4);

BEEP=!BEEP; //BEEP取反

}

BEEP=1; //关闭蜂鸣器

delay1(250); //延时

}

void delay0(uchar x) //x*0.14MS

{

unsigned char i;

while(x--)

{

for (i = 0; i<13; i++) {}

}

}

/******************************************/ /* 主程序*/ /******************************************/ main()

{

P0 = 0xff;

P1 = 0xff;

while(1)

{

P0 = 0xc0; //显示“0”

P1 = 0x01;

P3 = 0xff; //置P3.0-3.3为输入状态

_nop_();

temp = P3;

while(temp==0xff)

{

temp = P3;

temp=temp&0xff;

}

if(K1==0)

{ beep();

P0 = 0xf9; //显示“1”

delay(2000);

}

else if(K2==0)

{ beep();

P0 = 0xa4; //显示“2”

delay(2000);

}

else if(K3==0)

{ beep();

P0 = 0xb0; //显示“3”

delay(2000);

}

else if(K4==0)

{ beep();

P0 = 0x99; //显示“4”

delay(2000);

}

}

}

五、心得与体会

为期两周的单片机实训就落下了帷幕,在这两个星期的实训中,不仅检验了我对所学知识的掌握程度,也培养了我如何去把握一件事情,如何去做一件事情,又如何去完成一件事情。通过这次的设计,让我对单片机的知识又有了近一步的了解,让我在多方面都有了一定的提高。通过这次设计,综合运用本专业所学习的课程的理论,设计工作的实际训练从而提高我们的独立的工作能力,巩固我们所学的知

识,提高了我们我们的独立思考能力。在设计的过程中,我遇上了许多的问题,但是我没有放弃,在不明白的地方,及时的查阅材料,问老师,问同学,顺利地编写程序,仿真,调试。

通过这次的实训在软件方面在进一步学习了汇编程序编译环境keil uVision2,在此、我感谢此次实训带我们的老师,还有那些在实训中帮助我的同学。

参考文献

【1】、《单片机原理及接口技术》胡健机械工业出版社【2】、《51系列单片机》实验指导书杜礼霞应用技术学院

51单片机 4路抢答器

先说下我这个4路抢答器的功能: 5个按键,第五个是复位。第一个按键到第四个按键分别对应4个led 灯,只要1到4的其中任何一个按键按下,其对应的led灯就会亮,再按其他按键,不会有其他led灯亮。第五个按键进行复位,开始下一轮抢答。不多说直接上程序和protues仿真图如下: 注意:我试了下,程序有点小问题,(编译是完全通过的)我也没改出来,毕竟小弟我也才学,有大神知道的话可以给我说说,,谢谢。 #include//51头文件 sbit key0 = P3^0;//定义key0,为P3^0引脚 sbit key1 = P3^1;//定义key1,为P3^1引脚 sbit key2 = P3^2;//定义key2,为P3^2引脚 sbit key3 = P3^3;//定义key3,为P3^3引脚 sbit key4 = P3^4;//定义key4,为P3^4引脚 void main()//主函数 { while(1)死循环 { if(key0==0) {P1 = 0xfe;P3 = 0xf0;}//如果key0等于0,即闭合,led1亮,将其他三个按钮锁定为低电平 else if(key1==0) {P1 = 0xfd;P3 = 0xf0;}//如果key0等于1,即闭合,led2

亮,将其他三个按钮锁定为低电平 else if(key2==0) {P1 = 0xfb;P3 = 0xf0;}//如果key0等于2,即闭合,led3亮,将其他三个按钮锁定为低电平 else if(key3==0) {P1 = 0xf7;P3 = 0xf0;}//如果key0等于3,即闭合,led4亮,将其他三个按钮锁定为低电平 if(key4==0) //复位按钮按下闭合,则复位 { P1 = 0xff;P3 = 0xff; } } }

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

单片机四路抢答器的设计

第一章绪论 1.1单片机介绍 单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),常用英文字母的缩写MCU表示单片机,它最早是被用在工业控制领域。单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。 单片机比专用处理器更适合应用于嵌入式系统,因此它得到了最多的应用。现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。而个人电脑中也会有为数不少的单片机在工作。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可......用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD 等等的家电里面都可以看到它的身影。它主要是作为控制部分的核心部件。

单片机实验8路抢答器C语言知识版

单片机综合实验报告 题目: 8路抢答器实验 班级: 姓名: 学号: 指导老师: 时间:

一、实验内容: 以单片机为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。本实验有Protues软件仿真。 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定为30秒。 当主持人启动“开始”键后,定时器进行减计时,同时绿色LED灯亮。 二、实验电路及功能说明 分别设置一个抢答控制开关S1和复位开关S2,由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮抢答时,锁存相应的编号,并且优先抢答选手的编号一直保持显示在显示器上,直到主持人将系统复位为止。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统复位为止。复位后参赛队员可继续抢答。 如果定时时间已到,无人抢答,本次抢答无效,系统报警红色LED灯亮,并禁止抢答,定时显示器上显示00。

三、实验程序流程图: 主程序; 非法抢答序;抢答时间调整程序;回答时间调整程序;倒计时程序;正常抢答处理程序;犯规处理程序;显示及发声程序。主流程图如下图所示 子程序

四、实验结果分析 五、心得体会

六、程序清单 #include #define uchar unsigned char #define uint unsigned int sbit wela_a=P3^0; sbit wela1=P3^1; sbit wela2=P3^7; sbit rest=P3^5; sbit host=P3^6; sbit led1=P3^4;//绿灯 sbit led2=P3^3;//红灯 sbit led3=P3^2;//黄灯 sbit key1=P1^0; sbit key2=P1^1; sbit key3=P1^2; sbit key4=P1^3; sbit key5=P1^4; sbit key6=P1^5; sbit key7=P1^6; sbit key8=P1^7; uchar x,q,d,s,ge,t0,t1,start,flag; uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; void init();/*初始函数申明*/ void display(uchar s,uchar ge,uchar a); void delay(uint z); void keyscan(); void main() { init(); display(s,ge,a); while(1) { if(host==0) //主持人控制开关 { delay(5); if(host==0) { flag=1; start=1; delay(5); 延时 while(!host); 检测开关 } } if(rest==0) //复位 { delay(5); if(rest==0) { q=30; led2=1; led3=1; x=0; delay(5);

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

基于AT89C51单片机的四路抢答器课程设计

河北建筑工程学院 课程设计计算说明书 题目名称:单片机原理及应用课程设计 院系:机械工程学院 专业:机械电子工程 班级:机电112 学号: 2011322214 学生姓名:孙宏财 指导教师:张东辉 职称:讲师 2014年 7 月 3 日

目录 前言 (2) 一、硬件系统设计 (3) 1、硬件设计思路及系统框图 (3) 2、系统分析 (3) 3、抢答器总电路图 (4) 二、软件系统设计 (5) 1、系统功能分析及程序流程图 (5) 2、软件系统程序的编制 (6) 3、运用Keil软件进行程序的调试 (9) 三、基于P ROTEUS和K EIL的软硬件联合仿真 (10) 1、上电初始状态 (10) 2、开始按钮按的状态 (10) 3、有人抢答的状态 (11) 四、心得与体会 (12) 五、参考文献 (12)

基于AT89C51单片机的抢答器设计 前言 单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分为如下几个范畴。 1、在智能化仪表上的应用。 2、在工业控制中的应用。 3、在家电中的应用。 4、在计算机网络和通信领域中的应用。 5、单片机在医疗设备领域中的应用。 目前世界上单片机生产商很多,如INTEL、Motorola、NEC、AMD等公司其主流产品有几十个系列,几百个品种。 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用将造就一批计算机应用单片机的应用介绍

基于单片机的八路抢答器开题报告

*******大学毕业设计(论文)开题报告 题目名称基于单片机的八路抢答器 学生姓名专业班级学号 一、选题的目的和意义: 随着我国经济和文化事业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更为困难。因此我们设计了以单片机为核心的新型智能的抢答器,在保留原始抢答器的基本功能的同时又增加了数码管显示电路实现了其他功能。抢答器又称为第一信号鉴别器,其主要应用于各种知识竞赛、文艺活动等场合。 在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。如果在抢答过程中,只靠人的视觉是很难判断出哪组先答题。利用单片机来设计抢答器,使以上问题得以解决,即使有两组的抢答时间相差几微秒,也可分辨出哪组优先答题。 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在大多数抢答器均使用单片机(如MCS-51型)和数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示功能。像这类抢答器,制作过程简单,准确性与可靠性高,而且安装维护简单。 对于抢答器的应用,如早期的数字电路,随着科技的逐步发展,进而到了单片机的控制来实现其功能,而且功能齐全,电路简单,成本低,性能高,真正朝着有利的方向发展。 二、研究概况及发展趋势综述 抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器有的电路比较复杂难以制作,可靠性低,实现起来很困难;有些则用一些专用的集成块,而专用集成块的购买又很困难。而单片机自20世纪70年代问世以来,以其极高的性价比,受到国内外的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、价格低、环境要求不高、可靠性高、灵活性好、开发较为容易。这些优点使得单片机的应用领域变得越来越广,为适应各种竞赛场合,这种用单片机设计的抢答器具有电路简单、元件普通、易于购买等特点,很好的解决了制作者制作困难和难于购买的问题。在国内外已经开始了普遍的应用。 今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样话,是一种高效能的产品。

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

用51单片机制作4路抢答器

用51单片机制作4路抢答器 此抢答器具有限时抢答,超时无效的特点,并可以对主持人未喊开始而提前抢答的犯规情况作出判断。由于用了单片机,所以电路很简单。懒得写译码程序,也不想做驱动电路。干脆直接用了一片74LS48译码驱动器来驱动数码管。 ?呵呵,面包板上插一下,由于之前在Proteus中仿真过,所以直接就正常运 行了~上一张实物图 ?左边的是STC89C52的最小系统版,P1口上接了8个LED,当时做流水灯的。直接拿来用了。P2口是显示输出,P3口接受按键。那个小的芯片就是 74LS48啦~下方的是编程器+电源,STC的芯片就是编程方便,支持在线烧写,这么小巧的编程器~ ? ?当然最重要的是程序,附上代码清单。写的比较烂,竟然上了100行,希望不要被大虾们骂得太惨>_#include#defineuintunsignedchar ?//计时变量uints= 0,ms= 0; ?//枚举类型:记录抢答器工作状态enumStat{ Idle= 0,//空闲状态,比如正在读题Ready= 1,//就绪状态,可以抢答Respond= 2,//响应状态,有人抢到了}stat;?//时钟中断服务程序voidTimer()interrupt1using1{ TH0=0x3C; TL0=0xBD; ms++; s+=ms/20; ms%= 20; s%= 60;} ?//重置时钟voidResetTimer(){ EA= 1;//允许CPU中断ET0= 1;//定时器中断打开TMOD= 1;//设定时器为方式TR0= 0;//关定时器ms= 0; s= 0;} ?//优先编码(反向输入)uintEncode(uintc){ uinti,mask= 1; if(c== 0)return0; for(i= 0;ivoidmain(){ uintled= 0xff;//对应P1口,指示灯uintdisp= 15;//对应P2口,数

四路抢答器单片机课程设计

摘要 单片机作为一种工具,现在已经广泛的应用于智力和只是竞赛场合。本次设计是基于单片机51系列的理论知识综合运用AT89C51单片机设计的简易四路抢答器。利用AT89C51单片机的外围接口来实现抢答系统,利用单片机的定时器/计数器计数和定时的原理,将软、硬件有机的结合起来,使得系统能正确的记时,发光二极管能正常的闪烁。同时系统能够实现:在抢答过程中只有在主持人按下开始抢答键开始之后抢答才有效,如果在开始抢答之前抢答视为无效。在抢答成功之后发光二极管会变成闪烁状态与提示选手开始回答问题。同时还有主持人控制的系统复位键,以实现系统的复位。还有按键锁定,在一个选手抢答成功或者法规状态下其他按键无效。 通过这次的设计,对51系列的单片机的运用有了更深一层次的了解,也提高了我们的动手能力,加深我们对单片机的映像,为我们以后的学习打下一定的基础。 关键词:四路抢答器、AT89C51、单片机、定时、按键控制

目录 一、方案设计 (3) 1.1、设计要求 (3) 1.2、总体法案设计 (3) 二、硬件电路设计 (4) 2.1、元器件简介 (4) 2.2、系统总电路图 (6) 2.3、单元电路设计 (6) 2.3.1、独立式按键电路 (6) 2.3.2时钟电路设计 (7) 2.3.3复位电路设计 (7) 2.3.4指示灯电路设计 (8) 三、软件实际 (8) 3.1系统程序设计 (8) 3.2主程序流程图 (9) 3.3程序清单 (9) 四、制作以调试 (14) 五、抢答器的使用方法 (15) 六、心得与体会 (16) 七、参考文献 (17)

一、方案设计 1.1、设计要求 (1)、总共6个按键,两个供主持人用于“开始抢答”和“复位”操作,四个供四个选手用作“抢答”操作。 (2)、用1个发光二极管用作开始抢答的指示灯,用4个发光二极管分别显示4个选手的抢答状态。 (3)、开始抢答后,哪个选手抢答键最先按下,该选手的抢答指示灯点亮,表示抢答成功,此时其他选手再按键为无效,抢答成功后开始3秒计时,到时指示灯变为闪烁,以提示选手选手抢答后必须3秒内回答问题,否则视为犯规。 (4)、开始抢答前,若有选手按下抢答键,则其对应的指示灯变为闪烁,表示该选手犯规,此时有选手按键都将无效。 (5)、出现犯规后,主持人可以利用“复位键”重新开始。 1.2、总体法案设计 方案:依据课题要求,基于AT89C51单片机制作的抢答器,其最大的好处就是处理快,准确性高、可靠性好、控制功能强。 采用51系列的单片机AT89C51作为控制中心,系统可以完成运算控制、信号的控制以及显示的功能。选手通过按键开光作为输入信

51单片机四路抢答器(LED灯,数码管显示,蜂鸣器提示音)C语言源程序.

51单片机四路抢答器(LED灯,数码管显示,蜂鸣器提示音)C语言源程序2009-10-31 10:53 其实就是在原有的基础上,加入数码管显示及蜂鸣器,当然根据自己的要求,适当使用单片机I/O口 接线为: P0 P2 来控制数码管显示,其中P0为数码管显字控制,P2用来选择位(第几个数码管) P1用来控制8个LED灯 P3,独立按键(可以根据需要修改) P3^5(找了一个没有用到的I/O口,当然,可以用键盘扫描的方式来实现,这样的话,可以实现4*4=16路的抢答器,了解原理,做相应修改即可。 #include sbit key1=P3^0; //这里采用独立按键(4路) sbit key2=P3^1; sbit key3=P3^2; sbit key4=P3^3; sbit SPK=P3^5; //蜂鸣器,最好在ISP编程时先不接入,(我用的是杜邦线,可以设置跳线控制) void delay(unsigned int cnt) { while(--cnt); } void speak(unsigned int j) { unsigned int i; for(i=0;i

void main() { bit Flag; while(!Flag) { if(!key1){P1=0xFE;Flag=1;speak(300);P2=0;P0=0x06;} // LED1,数码管1显示1,蜂鸣器叫 else if(!key2){P1=0xFD;Flag=1;speak(300);P2=1;P0=0x5b;}//LED2,数码管2显示2,蜂鸣器叫 else if(!key3){P1=0xFB;Flag=1;speak(300);P2=2;P0=0x4f;}//LED3,数码管3显示3,蜂鸣器叫 else if(!key4){P1=0xF7;Flag=1;speak(300);P2=3;P0=0x66;}LED4,数码管4显示4,蜂鸣器叫 } while(Flag); } 测试完,手动复位即可,当然可设置相应的按键来控制标志:Flag,进行继续抢答。。

四路抢答器单片机课程设计

塔里木大学信息工程学院 《单片机原理与外围电路》课程论文 题目:基于单片机的四路抢答器 姓名:李健豪 学号:2021211214 班级:计算机科学与技术15-2班

摘要 系统是以单片机的基本语言汇编语言来进行软件设计,指令的执行速度快,节省存储空间。为了便于扩展和更改,软件的设计采用模块化结构,使程序设计的逻辑关系更加简洁明了。使硬件在软件的控制下协调运作。正文中首先简单描述系统硬件工作原理,且附以系统硬件设计框图,并介绍了单片机微处理器的发展史,论述了本次毕业设计所应用的各硬件接口技术和各个接口模块的功能及工作过程, 并描述了AT89C51外接电路接口的软、硬件调试。其次阐述了程序的流程和实现过程。本文撰写的主导思想是软、硬件相结合,以硬件为基础,来进行各功能模块的编写。 关键词单片机;微处理器;AT89C51 Abstract This system edits collected materials the language to proceed with single the basic language of a machine the software designs, the instruction carrie s out the speed quick, save memory. For the sake of easy to expand with the d esign adoption mold a logic for turning construction, making procedure design ing relation that change, software more shorter and more easier to understand . Make hardware control in software descended to moderate the operation. The text inside describes the system hardware work principle in brief first, anda ttach with the system hardware design frame diagram, combine development hist ory that introduced the single a machine microprocessor, discuss this graduat e design a function for applied each hardware connecting a people the techniq ue connects with each one a mold piece and work processes, combine to describ e in a specific way AT89C51 circumscribes the electric circuit connects oscul ar and soft, the hardware adjusts to try. Expatiated the process of the proce dure the next in order with realizes process. The predominance thought that t his text compose is soft, the hardware combines together, regarding hardware as the foundation, proceed the plait of each function mold piece write. Keywords Micro Controller Unit; Microprocessor; AT89C51 - 2 -

单片机课程设计-四路抢答器的设计与实现

沈阳航空航天大学 课程设计报告 课程设计名称:单片机系统综合课程设计课程设计题目:四路抢答器的设计与实现 院(系):计算机学院 专业: 班级: 学号: 姓名: 指导教师: 完成日期:

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (1) 1.1设计原理 (1) 1.2设计思路 (1) 1.3实验环境 (2) 第2章详细设计方案 (3) 2.1硬件电路设计 (3) 2.2主程序设计 (6) 2.2功能模块的设计与实现 (7) 第3章结果测试及分析 (12) 3.1结果测试 (12) 3.2结果分析 (12) 参考文献 (13) 附录 (14) Ⅰ源程序 (14) Ⅱ主要元器件清单 (22) Ⅲ电路图 (23)

第1章总体设计方案 1.1 设计原理 根据课程设计任务书的内容与要求,要实现四路抢答器的设计。主持人按下抢答开始开关,数码管从10s开始倒计时,此时选手可以抢答,相应的LED亮,单数码管显示其选手号,双数码管从30s开始进行答题倒计时,答题时间到则单数码管闪烁显示选手号5次,再回到初始状态,若时间到但没有人抢答则回到初始状态(所有灯灭,检测主持键是否按下)。若主持人尚在念题还未按下抢答开始开关,某选手违规抢答,则单数码管闪烁显示其选手号5次后回到初始状态。一共设置六个开关,用按键开关输入抢答信号,经单片机的处理,输出控制信号。硬件部分主要由单片机8031、数码管、按键开关、LED小灯和若干导线组成。1.2 设计思路 采用C语言程序设计结合硬件电路设计方法,利用伟福Lab6000实验箱上已有芯片8031来实现四路抢答器的设计,其中包括主持人按键及各功能键的设计、触发中断定时、数码管动态显示、相应的LED灯亮灭的情况。(1)提出方案 首先,设置一个主持人按键开关,当主持人按下开始键后,触发定时器T0进行10s抢答倒计时,若有选手按下抢答键则触发定时器T1进行30s答题倒计时。同时,与选手相对应的LED灯亮、数码管显示选手号;若30s内选手没有答题完毕,则数码管闪烁其选手号5次。其次,若10秒内没有选手按下抢答器,则回到初始状态,进行下一题抢答。最后,若主持人没有按下开始键就有选手按下抢答键,则数码管将会闪烁显示其选手号5次后回到初始状态。 (2)方案论证 四路抢答器设计的方案论证如下:

四路抢答器实验设计

课程设计说明书

广东石油化工学院 课程设计任务书 一、设计题目 四路抢答器 二、主要内容及要求 (1)当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。 (2)电路具有定时功能。要求回答问题的时间≤30秒(显示为29~00),时间显示采用倒计时方式。当达到限定时间时,发出声响提示。 (3)具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分 (4)在复位状态下台号数码管不作任何显示(灭灯)。 提高要求: (5)答题时间还剩5s时,每秒发出提示声音。 三、进度安排 1、掌握multisim仿真器软件的使用(5月25号)。 2、去图书馆和网上查找需要的资料,并整理好。(5月26日)。 3、对课程设计的要求进行理解,初步设计。(5月27日)。 4、整体设计开始,并逐步改进和调试。(5月29日) 5、完成设计并写课程设计说明书。(5月30日) 6、课题答辩(6月20日) 四、总评成绩

指导教师学生签名

抢答器电路设计 一、设计任务与要求 1.可容纳四组参赛的数字式抢答器; 2.当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此 时,抢答器不再接收其他输入信号; 3.电路具有定时功能。要求回答问题的时间≤60秒(显示为00~59),时间 显示采用倒计时方式。当达到限定时间时,发出声响提示; 4.具有计分功能。要求能设定初始分值,能进行加减分 5.在复位状态下台号数码管不作任何显示(灭灯) 二、方案设计与论证 如图所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”,抢答器工作。定时器倒计时,选手在定时时间内抢答时,抢答器完成优先判断、编号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 方案一:以74LS175+74LS48为主,构成抢答电路模块;以74LS192+数码管+蜂鸣器构成计时模块;以74LS192+74LS160为主构成计分模块。(抢答模块实现如 下图) 抢答器鉴 别模块 抢答器计时 模块 计分模块

51单片机4路抢答器的原理及C语言源程序

51单片机4路抢答器的原理及C语言源程 序 单片机,当然不只是51,51单片机是一种稍通用型的单片机,通过I/O口的定义,可以实现多种控制功能。 抢答器,原理:如果为四路,当其中任一路控下后,其他几路即失效,结果为第一次按下的,可以用数码管或是LED灯来显示,当然这里只是讲原理与编程,具体可以根据抢答器路数及显示方式更改程序即可。 源程序如下: /*用的是AT89S52开发板,独立按键接口如下,就用这四路。先按下的用LED灯来显示,对应第一个到第四个LED灯,其他再按无效,如果想再次实现,可手动复位单片机*/ #include sbit key1=P3^0; //定义按键,根据需要连接线路,如独立按键(4路)sbit key2=P3^1; sbit key3=P3^2; sbit key4=P3^3; /*void delay(unsigned int cnt) //如果有抖动或是干扰,可以用个小延时去抖 { while(--cnt); }

*/ void main() { bit Flag; while(!Flag) { if(!key1){P1=0xFE;Flag=1;} /*LED灯来显示按下的键,第一个灯,我这里是8位LED灯,即:0111 1111,反过来读数为:1111 1110 即:0xFE *,P1口对应LED灯,给P1赋值/ else if(!key2){P1=0xFD;Flag=1;} //第二个灯亮 else if(!key3){P1=0xFB;Flag=1;} //第三个灯亮 else if(!key4){P1=0xF7;Flag=1;} //第四个灯亮,意味着第四路首先按下 } while(Flag); //可以再加个I/O,控制Flag,这样初始化,继续抢答,还可以设计按下时的声音 } 以上程序测试只能测试一次,如再想测试,只能手动复位单片机。 当然,可以根据此原理,设计出功能强大的抢答器。如加入声音提示,数码管或是LCD液晶显示,裁判确定后可复位继续抢答等。 当然,用51单片机,是浪费,可根据I/O口(抢答路数)来用其他的单片机实现。

单片机简易四路抢答器

目录 1 设计任务和方案 (3) 1.1 设计任务 (3) 1.2功能要求说明 (3) 1.3 设计总体方案及工作原理说明 (3) 2 抢答器的硬件系统的设计 (5) 2.1 硬件系统各模块功能简要介绍 (5) 2.2 电路原理图、PCB图和元器件布局图 (5) 2.3 元器件清单 (6) 3 抢答器软件系统的设计 (7) 3.1使用的单片机资源的情况 (8) 3.2 各模块功能简要介绍 (8) 3.3 程序的流程框图 (8) 3.4 程序清单 (12) 4 抢答器的设计结论、仿真结果和教学建议 (13) 4.1 设计结论和使用说明 (13) 4.2 仿真结果 (13) 4.3 设计体会 (14) 4.4 教学建议 (15) 参考文献 (16) 致谢 (17) 附录 (18) 1 设计任务和方案 1.1设计任务 (一)设计题目:抢答器 (二)设计目的与任务: 学生通过理论设计和实物制作解决相应的实际问题,巩固和运用在《单片机技术》中所学的理论知识和实验技能,掌握单片机应用系统的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 1.2功能要求说明

该抢答器上电或按键复位后能自动显示系统提示符“P.”。设定S2GN键、S3GN 键、S4GN键、S5GN键、S6GN键、S7GN键、S8GN键分别为一号选手键、二号选手键、三号选手键、四号选手键、开始键、答完键、复位键。若在主持人按下开始键之前有人按下抢答键,则抢答违规,数码管显示器显示违规台号,违规指示灯(接在P3.2上的灯)亮,只有主持人按复位键后才能重新开始抢答。主持人按下开始键后,抢答开始并限定时间30S;10S内无人抢答,蜂鸣器发出音响;若30S后依然无人抢答,蜂鸣器发出音响,违规指示灯亮。正常抢答下,从抢答键按下开始30S内,答完键没按下,则数码管显示器显示违规台号,违规指示灯亮,蜂鸣器发出音响。各台数字显示的消除、蜂鸣器音响及违规指示灯的关断,都要通过主持人按复位键实现。 1.3设计总体方案及工作原理说明 设计中采用AT89S52芯片、LED显示器、蜂鸣器、LED灯和一些独立式按键构成一个简易四路抢答器。设计中是采用单片机的内部定时器进行定时,原理框图如图1.1所示 整个抢答器的工作原理是:在正常的供电状态下,开始抢答时利用单片机倒计时,并由单片机将所需要显示的数据送到LED显示器的输入口,当有键按下时则执行相应的键功能程序。 图1.1 原理框图 2抢答器的硬件系统的设计 2.1 硬件系统各模块功能设计 该抢答器主要由单片机最小系统、独立式键盘模块、LED显示电路模块、蜂鸣电路模块和LED指示灯电路模块组成。各模块的功能如下: (1)单片机最小系统 由AT89S52单片机、时钟电路和复位电路构成。AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。时钟电路由一个12MHz的石英晶体振荡器和两个33pF的的电容组成振荡电路和分频电路。复位电路采用上电复位和按键复位结合的方式对电路进行复位,主要是通过RST引脚送入单片机。单片机最小系统为整个电路的核心。 (2)独立式键盘模块 采用独立式键盘接法,共有七个按键来对电路进行控制。分别通过上拉电阻接在单片机的P1口线上。其中有四个按键为抢答键(接在P1.0--P1.3口线上),开始键接在P1.4口线上,答完键接在P1.5口线上,复位键接在P1..6口线上。 (3)LED显示电路模块 采用两个四位一体共阳型数码管显示器进行显示。由于位控线的驱动电流较大,

基于c51单片机四路抢答器课程设计

基于c51单片机四路抢答器 目录 一.摘要 (1) 二.方案设计 (2) 2.1 (2) 2.2 (2) 三.元器件电路设计 (2) 3.1 元器件简介 (2) 3.2 独立式按键电路 (3) 3.3 时钟电路设计 (3) 3.4指示灯电路设计 (4) 四.软件实现 (4) 4.1系统程序设计 (4) 4.2主程序流程图如下 (5) 4.3程序清单 (5) 五. 心得与体会 (8) 六.参考文献 (8)

一.摘要 单片机作为一种工具,现在已经广泛的应用于竞赛场合。本次试验是基于单片机51系列的理论知识综合运用AT89c52单片机设计的简易四路抢答器。运用AT89c52单片机的外围借口来实现抢答系统,利用单片机的定时器/计数器计数和定时的原理,将软、硬件有机的结合起来,使得系统能正确的记时,发光二极管能正常的闪烁。同时系统能够实现:在抢答过程中只有主持人按下开始抢答按键后抢答才有效,如果在开始抢答之前抢答视为无效。在抢答成功之后发光二极管会变成闪烁状态并提示选手开始回答问题。同时还有主持人控制的系统复位键,以实现系统的复位。还有按键锁定,在一个选手抢答成功或者违规状态下其他按键无效。 通过这次的设计,对51系列的单片机的运用有了更深一层次的了解,也提高了我们的动手能力,加深我们对单片机的影响,为我们以后的学习打下一定基础。

二.方案设计 2.1 (1).总共4个按键,四个供选手用作“抢答”操作。 (2).用1个发光二极管用作开始强大的指示灯,用4个发光二极管分别显示4个选手的抢答状态。 (3).开始抢答后,哪个选手抢答键先按下,该选手的抢答指示灯亮,表示抢答成功,此时其他选手再按键为无效,抢答成功开始3秒计时,到时指示灯变为闪烁,以提示选手抢答后必须3秒内回答问题。 2.2 方案:依据课题要求,基于AT89c52单片机制作器的抢答器,其最大的好处就是处理快,准确性高,可靠性好,控制功能强。 采用51系列的单片机AT89c52作为控制中心,系统可以完成运算控制、信号的控制以及显示功能。选手通过按键开始作为输入信号完成输入信号的触发,主持人根据选手的输入信号准确的判断最先按下的选手且屏蔽其他的抢答信号,让选手作答,使用外部中断方式来实现开始抢答,运用按键复位来实现系统的复位。 三.元器件电路设计 3.1 元器件简介 AT89c52单片机,低电压高性能CMOS 8位单片机,片内含8k bytos的可反复擦写的Flash只读程序存储器和256 bytcs的随机存取数据存储器(RAM)无需扩展外部存储器。 如右图其中: CVV:供电电压; GND:接地; P0口:P0口味一个8位漏极开路双向I\O口,每脚吸收8TTL门电流。P0能够用于外部程序数据存储器,他可以被定义为数据\地址的第八位。 P1口:P1口是一个内部提供上拉电阻的8位双向I\O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1

相关主题
文本预览
相关文档 最新文档