当前位置:文档之家› 数电实验指导书共25页文档

数电实验指导书共25页文档

数电实验指导书共25页文档
数电实验指导书共25页文档

<<数字电子技术课程>>

电子技术基础(数字部分)实验教案

(实验指导书)

雷兴王中丽

通信工程1001班

黄淮学院

信息工程学院

2011.2.20

目录

<<数字电子技术课程>> ............................. 错误!未定义书签。

(实验指导书) (1)

<<数字电子技术课程>>.......................... 错误!未定义书签。指导书前言. (2)

一、数电指导书:部分实验内容及要求 (4)

二、参考资料一:常见数字电路实验范例 (4)

实验一:集成逻辑门电路逻辑功能的测试 (4)

实验二:集成逻辑门电路的参数测试 (7)

实验三:组合逻辑电路的实验分析 (9)

实验四:变量译码器 (11)

实验五:数据选择器 (12)

实验六:触发器 (14)

实验七:计数器 (16)

实验八:计数、译码、显示综合实验 (18)

实验九:利用TTL集成逻辑门构成脉冲电路 (19)

实验十:555定时器电路 (21)

三、参考资料二、常用数字集成电路编号和引脚图 (23)

<<数字电子技术课程>>

电子技术基础(数字部分)实验教案

(实验指导书)

教学目的手段等说明

本实验教案是模拟电路实验,配合我系通信工程专业和电子信息工程专业的模拟电路课程使用。

本实验课程的授课对象为:通信工程专业和电子信息工程专业本科生。

本实验课程的目的为:配合我系通信工程专业和电子信息工程专业本科生培养计划,着重培养学生对电子电路理解和和电路设计能力,电路调试方法,测量仪器的使用,测量方法,及动手能力。本实验课程是基础电子电路实验课程,在本专业中有着极其重要的地位。

本实验课程的性质:以验证性实验为主,并有一定的设计性实验,主要配合模拟电路理论课程开设,是对理论课的重要补充,是电子技术课程不可或缺的一部分,应加以重视和强化。

本实验课程的实验手段:本实验采用实验室内实验室方法,以XT—7电子综合实验台为基础,配合其它测试仪器组成完整的实验设备系统,以课堂实验的方式开出,分组进行,相当于每个学生每周实验一次(俩节课)。

目前存在的问题:实验设备老化,故障率高,测试仪器损坏多,得不到及时更新,建意加大投入。

本实验教案内容较多,实验教师可根据本实验教案进行实验指导。

每个实验都有完整的实验要求和相关内容,并附有思考题。要求学生实验完成后写出实验报告并做出思考题答案。

指导书前言

一、实验总体目标

建设具有符合职业岗位能力和学校办学定位的课程目标的,充分体现职业标准要求和适时吸纳新知识、新技术、新工艺、新标准的课程内容的,具备以职业岗位作业流程为导向的教学模块的《数字电子技术》精品课程。课程拥有合理而先进的课程教学方法与手段,具备立体化教学资源库、先进的实验、实践设施和体现职业能力为核心的课程考核方式与标准。

二、适用专业年级

电子信息工程、通信工程、教育技术学专业

三、先修课程

电路分析基础、模拟电子技术

四、实验环境

实验楼五楼电子实验室使用面积80,实验组数12组,配套仪器12套。

五、实验总体要求

为加强学生基本技能的训练,安排了36学时的实验。实验时每组人数一般不应超过两人。

实验指导书应包括实验目的、要求、仪器设备、实验步骤等。较注意尽量多开设学生自拟实验,由教师指定实验内容和要求,并提供实验器材,学生自行拟定方案,独立完成。

六、本课程实验的重点、难点及教学方法建议

《数字电子技术》本身具有很强的实践性和应用性,因此在介绍一些重要章节前,列举一个设计实例或工程实际问题,通过分析、设计、引入相关知识和理论。例如:在学习中规模集成组合逻辑电路一节时,先让学生用已学过的SSI组合电路的设计方法“设计一个交通灯故障报警电路。

交通灯有红、黄绿三色。只有当其中一只灯亮时为正常,其余状态为故障,要求用与非门实现。”3然后提出问题,“用SSI组合电路进行设计时,是以门作为电路的基本单元,我们能否用其它逻辑部件来实现这个电路的设计呢?”在给予学生一定的思考时间后,教师可以直接给出总是的答案:“本节将要学习的内容中,译码器、数据选择器这两种中规模逻辑器件都可以完成上述电路的逻辑功能”。同时画出相应的设计电路。这样学生的兴趣马上被调动起来,并产生疑问:什么是译码器、数据选择器?为什么它们也能实现上述电路设计?等等。在学习了译码器、数据选择器的原理及逻辑功能和用它们实现组合逻辑电路的方法后,学生的疑问终于得到解决。同时也使学生学会了采用多种方案实现同一逻辑要求的方法。提高了学生学习兴趣,调动了学生学习的主动性和创造性。

七、可根据专业班级对实验项目进行取舍。

实验时可根据指导书和参考资料一、二先查出集成电路的引脚图和功能表,再按实验原理连接电路,然后进行测试,并做好记录,最后根要求撰写实验报告并回答思考题。

一、数电指导书:部分实验内容及要求

此内容供参考,具体实验项目以课堂讲授的为准。

二、参考资料一:常见数字电路实验范例

实验一:集成逻辑门电路逻辑功能的测试

一、实验目的

1、了解数字逻辑实验箱的结构、基本功能和使用方法。

2、掌握常用非门、与非门、或非门、与或非门、异或门的逻辑功能及其测试方法。

二、实验原理和实验内容提要

1、测试74LS04六非门的逻辑功能

2、测试74LS00四2输入端与非门逻辑功能

3、测试74LS55 二路四输入与或非门逻辑功能

4、测试74LS86四异或门逻辑功能

三、实验器材

1、数字逻辑实验箱DSB-31台

2、万用表1只

3、元器件:74LS00(T065) 74LS04 74LS55 74LS86 各一块,导线若干

四、实验要求

1、数字逻辑实验箱提供5 V + 0.2 V的直流电源供用户使用。

2、连接导线时,为了便于区别,最好用不同颜色导线区分电源和地线,一般用红色导线接电源,用黑色导线接地。

3、实验箱操作板部分K0~K7提供8位逻辑电平开关,由8个钮子开关组成,开关往上拨时,对应的输出插孔输出高电平“1”,开关往下拨时,输出低电平“0”。

4、实验箱操作板部分L0~L7提供8位逻辑电平LED显示器,可用于测试门电路逻辑电平的高低,LED亮表示“1”,灭表示“0”。

五、实验内容和步骤

1、测试74LS04六非门的逻辑功能

将74LS04正确接入面包板,注意识别1脚位置,按表1-1要求输入高、低电平信号,测出相应的输出逻辑电平。

表1-1 74LS04逻辑功能测试表

2、测试74LS00四2输入端与非门逻辑功能

将74LS00正确接入面包板,注意识别1脚位置,按表1-2要求输入高、低电平信号,测出相应的输出逻辑电平。

3、测试74LS55 二路四输入与或非门逻辑功能

将74LS55正确接入面包板,注意识别1脚位置,按表1-3要求输入信号,测出相应的输出逻辑电平,填入表中。(表中仅列出供抽验逻辑功能用的部分数据)

4、测试74LS86四异或门逻辑功能

将74LS86正确接入面包板,注意识别1脚位置,按表1-4要求输入信号,测出相应的输出逻辑电平。

六、实验报告要求

1、整理实验结果,填入相应表格中,并写出逻辑表达式。

2、小结实验心得体会。

七、复习思考题

1、若测试74LS55的全部数据,所列测试表应有多少种输入取值组合?实验二:集成逻辑门电路的参数测试

一、实验目的

1、掌握TTL和CMOS与非门主要参数的意义及测试方法。

2、进一步熟悉数字逻辑实验箱的基本功能和使用方法。

二、实验原理和实验内容提要

1、TTL与非门74LS20静态参数测试

2、CMOS双四输入与非门CC4012静态参数测试

三、实验器材

1、数字逻辑实验箱DSB-3 1台

2、万用表 2只

3、元器件:74LS20(T063) CC4012 各一块,2CK11 4只,电阻及导线若干

四、实验要求

1、注意正确使用万用表,必须先调好档位再测量,否则易损坏万用表。

2、注意正确识别二极管极性。

五、实验内容及步骤

1、TTL与非门74LS20静态参数测试

(1)导通电源电流ICCL和截止电源电流ICCH 。测试电路如图2-1。注意:74LS20为双四输入与非门,两个门的输入端应作相同处理。

(2)低电平输入电流I iL 和高电平输入电流Ii H。每一门和每一输入端都应测试一次。测试电路如图2-2。

(3)电压传输特性。调节电位器RW,使Vi从0V向5V变化,逐点测试Vi和VO值,将结果记录入表2-1中。根据实测数据作电压传输特性曲线,从曲线上得出VOH、VOL、VON、VOFF、VTH等值,并计算VNL、VNH(提示:在VO变化较快的区域应多测几点,有利于绘制特性曲线)。测试电路如图2-3。

2、CMOS双四输入与非门CC4012静态参数测试

将CC4012正确插入面包板,测电压传输特性。测试电路如图2-4,方法同上。将结果记录入表2-2中。根据实测数据作电压传输特性曲线,从曲线上得出VOH、VOL、VON、VOFF、VTH等值,并计算VNL、VNH 。若将三个多余输入端悬空测试一次,结果正确吗?

六、实验报告要求

1、列表整理出各参数的测试值,并与规范值相比较,判断所测电路性能的好坏。

2、画出两条电压传输特性曲线,从曲线中读出各有关参数值。比较TTL

与CMOS门电路电压传输特性曲线的异同。

七、复习思考题

1、测量TTL与非门输出低电平时为何要加负载?图2-3中R选用360Ω是什么道理?若R很小会产生什么现象?

2、TTL与非门输入端悬空为什么可以当作输入为“1”?CMOS与非门多余输入端可以悬空吗?

3、讨论TTL或非门闲置输入端的处置方法。

4、实验中所得ICCL和ICCH为整个器件值,试计算单个门电路的ICCL和ICCH 。

5、CC4012的电源范围为3-18V,若VDD=15V,则其VOH、VOL、VTH应为多少?

实验三:组合逻辑电路的实验分析

一、实验目的

1、掌握组合逻辑电路的实验分析方法。

2、验证半加器、全加器的逻辑功能。

二、实验原理和实验内容提要

1、测试图3-1电路的逻辑功能

2、测试图3-2电路的逻辑功能

3、测试用异或门、非门和与或非门组成的电路的逻辑功能

三、实验器材

1、数字逻辑实验箱DSB-3 1台

2、万用表 1只

3、元器件: 74LS00(T065) 74LS20(T063)各一块,74LS55 74LS86 各一块,导线若干

四、实验要求

注意按图接线,千万不要将两个门电路的输出端误接在一起。想想为什么?

五、实验内容和步骤

1、测试图3-1电路的逻辑功能

按图3-1接线。按表3-1要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

2、测试图3-2电路的逻辑功能

按图3-2接线。按表3-2要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

3、测试用异或门、非门和与或非门组成的电路的逻辑功能

按图3-3接线。按表3-3要求输入信号,测出相应的输出逻辑电平,并填入表中。分析电路的逻辑功能,写出逻辑表达式。

4、在图3-3电路中,若故意使74系列TTL逻辑门电路的电源电压接触不良或串联一个大电阻,使电源电压超出5V±0.25V范围,会怎样?试试看。

六、实验报告要求

1、整理实验结果,填入相应表格中,写出逻辑表达式,并分析各电路的逻辑功能。

七、复习思考题

1、总结用实验来分析组合逻辑电路功能的方法。

实验四:变量译码器

一、实验目的

1.掌握MSI组合电路变量译码器的实验分析方法。

2.熟悉中规模集成三线—八线译码器的应用。

二、实验原理和实验内容提要

1、利用数字逻辑实验箱测试74LS138译码器的逻辑功能,并记录实验数据。

2、用74LS138及与非门设计一个三变量多数表决电路。

三、实验器材

1、数字逻辑实验箱DSB-3 1台

2、万用表 1只

3、元器件: 74LS20(T063)一块,74LS138 一块,导线若干

四、实验内容和步骤

1、利用数字逻辑实验箱测试74LS138译码器的逻辑功能,并记录实验数据。请在预习时自行拟出实验步骤,列出表述其功能的真值表(包括所有输入端的功能)。

2、用74LS138及与非门设计一个三变量多数表决电路,要求画出逻辑电

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

模电实验指导书test2

实验一、常用仪器的使用及常用器件的认识、检测一、实验目的 1.学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的技术指标、性能及正确使用方法。 2.初步掌握双踪示波器观察正弦信号波形和读书波形参数的方法。 3.认识常见的电子元器件及其检测方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等。它们和万用电表在一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各中电子仪器进行综合使用,可按照信号流向,一连先简捷,调节顺手,观察与读数方便等原则进行合理布局,个仪器与被册实验装置之间的布局与连线如图1——1所示。接线是应注意,为了防止外界的干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流伏安表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 1.示波器 在本书实验附录中已对常用的GOS-620型双踪示波器的原理和使用做了较详细的说明,先着重指出下列几点: 1)寻找扫描光迹点 在开机半分钟后,如还找不到光点,可调节亮度旋钮,并按下“寻迹”键,从中判断光点的位置,然后适当调节垂直(↑↓)和水平()移位旋钮,将光点移至荧光屏的中心位置。 2)为了显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。 a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确定。 b、“触发源的选择”开关(内、外)——通常选为内触发。 c、“内触发源的选择”开关(拉YB)——通常至于常态(推进位置)。此时对单一从 YA或YB输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB )位置,此时触发信号仅取自YB,故仅对YB输入的信号同

数电实验指导书(2016年14级)

实验一:门电路实验 一、实验目的: 熟悉、掌握门电路的逻辑功能 二、实验仪器和设备: 1、TPE-D6型数字电路学习机2、数字万用表 三、实验原理及主要知识点 1.与非门_____ AB F =(有0出1,全1出0) 2.与或非门___ __________CD AB F +=(画真值表自行总结) 3.或门B A F +=(有1出1,全0出0) 四、实验步骤 实验前的准备:在学习机上未接任何器件的情况下(指实验用插座部分),先合上交流电源,检查5V 电源是否正常,再合直流电源测V CC 处电压是否正常,测两排插口中间V CC 插口处电压是否正常,全正常后断开全部电源。 随后选择好实验用集成片,查清集成片的引腿及功能,然后根据实验图接线,特别注意V CC 及地的接线不能接错,待老师检查后方可接通电源进行实验,以后所有实验依此办理。 (一) 测与非门的逻辑功能 1、选双4输入正与非门74LS20集成芯片一只;选择一个组件插座(片子先不要插入)按图接好线。 2、输入端接电平开关输出插口,输出端接发光二极管显示插口。 3、拨动电平开关,按表中情况分别测出输出端电平。 (二)、测与异或门的逻辑功能 1、选两路四输入与或非门电路74LS55集成芯片一只;选择一个组件插座(片子先不要插入)按图接线。 4 双4输入正与非门74LS20

2、 (三)根据摩根定理或门的逻辑函数表达式B A Z +=,可以写成B A Z ?=,因此可以用三个与非门构成或门。 (1) 将由三个与非门构成的或门测试电路画在下面空白处。 (2) 当输入端(A 、B )为下列情况时,分别测输出端(Z )的电位,将结果填入表中。 五、实验思考题及实验报告要求 整理实验数据,并对数据进行分析,根据实验观察到的现象,回答下列问题。 1与非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与非门不用的输入端应如何处理? 2与或非门在什么情况下输出高电平?什么情况下输出低电平?TTL 与或非门不用的与门应如何处理? 实验二 组合逻辑电路实验 一、实验目的 (一) 掌握组合逻辑电路的分析方法 (二) 验证半加器的逻辑功能 (三) 了解二进制数的运算规律 二、实验仪器及设备 (一) TPE-D6型数字电路学习机 (二)数字万用表 三、实验原理及主要知识点 组合逻辑电路的分析是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或 4个二输入异或门74LS86

高电压技术实验指导书_学生用_

实验一.电介质绝缘特性及电击穿实验 一.实验目的: 观察气隙击穿、液体击穿以及固体沿面放电等现象及其特点,认识其发展过程及影响击穿电压的各主要因素,加深对有关放电理论的理解。 二.预习要点: 概念:绝缘;游离;电晕;电子崩;流注;先导放电;自持放电;滑闪放电;沿面放电;小桥;电击穿;热击穿。 判断:空气是绝缘介质;纯净液体的击穿是电击穿,非纯净液体的击穿是热击穿,绝缘油的击穿电压受油品、电压作用时间、电场分布情况及温度的影响较大,电弧会使油分解并产生炭粒;沿面放电是特殊的气体放电,分三个阶段,沿面闪络电压小于气隙击穿电压。 推理:变压器油怕受潮;油断路器有动作次数的限制; 相关知识点:电场、介质极化、偶极子、介电常数、Paschen定律、Townsend理论、流注理论、伏秒特性、大气过电压、内部过电压。 三.实验项目: 1.气体绝缘介质绝缘特性及电击穿实验 ⑴.电极形状对放电的影响 ①.球球间隙 ②.针板间隙 ③.针针间隙 ⑵.电场性质对放电的影响 ①.工频交流电场 ②.直流电场 ⑶.极性效应 ①.正针负板 ②.负针正板 2.液体绝缘介质绝缘特性及电击穿实验 ⑴.导电小桥的观察 ⑵.抗电强度的测试 3.固体绝缘介质绝缘特性及电击穿实验 ⑴.刷状放电的观察 ⑵.滑闪放电的观察 ⑶.沿面闪络的观察 四.实验说明: 1.气体绝缘特性: ⑴.气体在正常情况下绝缘性能良好(带电粒子很少); ⑵.气体质点获得足够的能量(大于其游离能)后,将会产生游离,生成正离子和电子; ⑶.气体质点获得能量的途径有:粒子撞击、光子激励、分子热碰撞; ⑷.气隙中除了有气体质点游离产生的带电粒子外,还存在金属电极表面的逸出电子; ⑸.气隙加上电场,气隙中的带电粒子将顺电场方向加速运动,造成大量的粒子碰撞,但产生气体质点游离的撞源粒子是电子;

电力电子技术实验指导书

电力电子技术实验指导书 河南机电职业学院 2010年4月

学生实验守则 一、学生进入实验室必须服从管理,遵守实验室的规章制度。保持实验室的安静和整洁,爱护实验室的一切设施,不做与实验无关的事情。 二、实验课前要按照教师要求认真预习实验指导书,复习教材中于实验有关的内容,熟悉与本次实验相关的在理论知识,同时写出实验预习报告,并经教师批阅后方可进行实验。 三、实验课上要遵守操作规程,线路连接好后,先自行检查,后须经指导教师检查后,才可接通电源进行实验。如果需更改线路,也要经过教师检查后才能接通电源继续实验。 四、学生实验前对实验所用仪器设备要了解其操作规程和使用方法,实验过程中按照要求记录实验数据。实验中有仪器损坏情况,应立即报告指导教师检查处理。凡因不预习或不按照使用方法误操作而造成设备损坏后,除书面检查外,还要按照规定进行赔偿。 五、注意实验安全,不要带电连接、更改或拆除线路。实验中遇到事故应立即关断电源并报告教师处理。 六、实验完成后,实验数据必须经教师签阅后,方可拆除实验线路。并将仪器、设备、凳子等按照规定放好,经教师同意后方可离开实验室。 七、实验室仪器设备不能擅自搬动、调换,更不能擅自带出实验室。 八、因故缺课的同学可以向实验室申请一次补做机会。无故缺课、无故迟到十五分钟以上或者早退的不予补做,该实验无成绩。

第一章电力电子技术实验的基本要求 和安全操作说明 《电子电力技术》是电气工程及其自动化、自动化等专业的三大电子技术基础课程之一,课程涉及面广,内容包括电力、电子、控制、计算机技术等。而实验环节是该课程的重要组成部分,通过实验,可以加深对理论的理解,培养和提高动手能力、分析和解决问题的独立工作能力。 1-1 实验的特点和要求 电力电子技术实验的内容较多、较新,实验系统也比较复杂,系统性较强。理论教学是实验教学的基础,要求学生在实验中应学会运用所学的理论知识去分析和解决实际系统中出现的各种问题,提高动手能力;同时通过实验来验证理论,促进理论和实际相结合,使认识不断提高、深化。通过实验,学生应具备以下能力: (1)掌握电力电子变流装置的主电路、触发和驱动电路的构成及调试方法,能初步设施和应用这些电路; (2)熟悉并掌握基本实验设备、测试仪器的性能和使用方法; (3)能够运用理论知识对实验现象、结果进行分析和处理,解决实验中遇到的问题; (4)能够综合实验数据,解释实验现象,编写实验报告。 1-2 实验前的准备 实验准备即为实验的预习阶段,是保证实验能否顺利进行的必要步骤。每次实验前都应先进行预习,从而提高实验质量和效率,否则就有可能在实验时不知如何下手,浪费时间,完不成实验要求,甚至有可能损坏实验装置。因此,实验前应做到: (1)复习教材中与实验有关的内容,熟悉与本次实验相关的理论知识。 (2)阅读本教材中的实验指导,了解本次实验的目的和内容;掌握本次实验系统的工作原理和方法;明确实验过程中应注意的问题。 (3)写出预习报告,其中应包括实验系统的详细接线图、实验步骤、数据记录表格等。 (4)进行实验分组,一般情况下,电力拖动自动控制系统实验的实验小组为每组2~3人。 1-3 实验实施 在完成理论学习、实验预习等环节后,就可进入实验实施阶段。实验时要做到以下几点: (1)实验开始前,指导教师要对学生的预习报告作检查,要求学生了解本次实验的目的、内容和方法,只有满足此要求后,方能允许实验。 (2)指导教师对实验装置作介绍,要求学生熟悉本次实验使用的实验设备、仪器,明确这些设备的功能与使用方法。 (3)按实验小组进行实验,实验小组成员应进行明确的分工,以保证实验操作协调,记录数据准确可靠,各人的任务应在实验进行中实行轮换,以便实验参加者能全面掌握实验技术,提高动手能力。 (4)按预习报告上的实验系统详细线路图进行接线,一般情况下,接线次序为先主电路,后控制电路;先串联,后并联。在进行调速系统实验时,也可由2人同时进行主电路和控制电路的接线。 (5)完成实验系统接线后,必须进行自查。串联回路从电源的某一端出发,按回路逐项

数字电路实验指导书(第一次实验用)

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、前言 二、实验一基本电路逻辑功能实验 三、实验二数字键输入编码功能实现电路设计 四、实验三二进制数字存储功能电路设计 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则 十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

电工电子技术 实验指导书

电工与电子技术实验指导书 目录 实验一万用表的使用 (3) 实验二叠加定理 (5) 实验三戴维南定理 (7) 实验四日光灯电路 (10) 实验五正弦交流电路认识实验 (12) 实验六三相交流电路 (16) 实验七RC电路的暂态分析 (19) 实验八变压器参数测定及绕组极性判别 (21) 实验九三相异步电动机的起动与控制 (25) 实验十可编程控制器PLC及其应用 (27) 实验十一单管电压放大器 (30) 实验十二集成运算放大器的应用 (32) 实验十三直流稳压电源 (34) 实验十四组合逻辑电路的设计 (36) 实验十五集成JK触发器和计数器 (38) 实验十六A/D、D/A转换器 (40) 实验十七555集成定时器及其应用 (43)

实验十八 移位寄存器及其应用 (45) 实验一 万用表的使用 ——直流电压、直流电流和电阻的测量 一、实验目的 1.学会对万用表转换开关的使用和标度尺的读法,了解万用表的内部结构; 2.学会较熟练地使用万用表正确测量直流电和直流电流; 3.学会较熟练地使用万用表正确测量电阻。 二、实验器材 1.万用表 一块 2.面包板 一块 3.恒压电压源 一台 4.导线 若干根 5.电阻 若干只 三、实验内容及步骤 图1-1 1.电阻的测量 (1)未接成电路前分别测量图1-1电路的各个电阻的电阻值,将数据记录在表1;再 2 U S 2

按图1-1所示连成电路,并将图中各点间电阻的测量和计算数据记录在表2中,注意带上单位。 开启实训台电源总开关,开启直流电源单元开关,调节电压旋钮,对取得的直流电源进行测量,测量后将数据填入表1-2中。 表1-2直流电压、直流电流测量记录 万用表:主要用来测量交流直流电压、电流、直流电阻及晶体管电流放大位数等。现在常见的主要有数字式万用表和机械式万用表两种。 (1)数字式万用表 在万用表上会见到转换旋钮,旋钮所指的是测量的档位: V~:表示的是测交流电压的档位 V- :表示的是测直流电压档位 MA :表示的是测直流电流的档位 Ω(R):表示的是测量电阻的档位 HFE :表示的是测量晶体管电流放大位数 万用表的红笔表示接外电路正极,黑笔表示接外电路负极。优点:防磁、读数方便、准确(数字显示)。 (2)机械式万用表 机械式万用表的外观和数字表有一定的区别, 但它们俩的转挡旋钮是差不多的,档位也基本相同。在机械表上会见到有一个表盘,表盘上有八条刻度尺: 标有“Ω”标记的是测电阻时用的刻度尺 标有“~”标记的是测交流电压、电流时用的刻度尺 标有“HFE”标记的是测三极管时用的刻度尺

《数字电路设计实训》实验指导书

数字电路设计实训实验指导书 编写人:许一男 审核人:金永镐 延边大学工学院 电子信息通信学科

目录 一、基础实验部分 实验一门电路逻辑功能及测试 (1) 实验二组合逻辑电路(半加器、全加器及逻辑运算) (5) 实验三 R-S,D,JK触发器 (9) 实验四三态输出触发器,锁存器 (12) 实验五集成计数器及寄存器 (15) 实验六译码器和数据选择器 (18) 实验七 555时基电路 (21) 二、选做实验部分 实验八时序电路测试机研究 (26) 实验九时序电路应用 (29) 实验十四路优先判决电路 (31) 三、创新系列(数字集成电路设计)实验部分 实验十一全加器的模块化程序设计与测试 (33) 实验十二串行进位加法器的模块化程序设计与测试 (35) 实验十三 N选1选择器的模块化程序设计与测试 (36)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机及示波器使用方法 二、实验仪器及材料 1. 双踪示波器 2. 器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路工作原理及相应逻辑表达式。 2. 熟悉所用集成电路的引线位置及引线用途。 3. 了解双踪示波器的使用方法。 四、实验容 实验前按学习机使用说明先检查学习机电源是否正 常,然后选择实验用的集成电路,按自己设计的实验 电路图接好连线,特别注意Vcc及接地线不能接错。 线接好后经实验指导教师检查无误方可通电实验。实 验中改动接线需先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能图1.1 (1)选用四输入与非门74LS20一只,插入面包板,按图1.1接线,输入端 接S 1~S 4 (电平开关输出端口),输出端接电平显示发光二极管(D 1 ~D 8 任意一个)。 (2)将电平开关按表1.1置位,分别测输出电压及逻辑状态。 表1.1

新版数字电路实验指导书

数字电子技术 实验指导书 适用专业:电子信息工程、应用电子 浙江师范大学电工电子实验教学中心

冯根良张长江 目录 实验项目 实验一门电路逻辑功能的测试……………………………………验证型(1)实验二组合逻辑电路Ⅰ(半加器全加器及逻辑运算)…………验证型(7)实验三组合逻辑电路Ⅱ(译码器和数据选择器)………………验证型(13)实验四触发器………………………………………………………验证型(17)实验五时序电路(计数器、移位寄存器)………………………验证型(22)实验六组合逻辑电路的设计和逻辑功能验证……………………设计型(27)实验七 D/A-A/D转换器……………………………………………设计型(34)实验八 555定时的应用……………………………………………设计型(41)实验九集成电路多种计数器综合应用……………………………综合型(46)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连

数字电子技术实验指导书

实验一 TTL集成逻辑门电路的参数的测试 一.预习要求 1.预习TTL与非门有关内容,阅读TTL电路使用规则。 2.与非门的功耗与工作频率和外接负载情况有关吗?为什么? 3.测量扇出系数的原理是什么?为什么一个门的扇出系数仅由输出低电平的扇出系书来决定? 4.为什么TTL与非门的输入引脚悬空相当于接高电平? 5.TTL门电路的闲置输入端如何处理? 二.实验目的 1.掌握TTL集成与非门的主要参数、特性的意义及测试方法。 2.学会TTL门电路逻辑功能的测试方法。 三.实验原理 TTL集成与非门是数字电路中广泛使用的一种逻辑门,本实验采用4输入双与非门74LS20,在一片集成块内含有两个互相独立的与非门,每个与非门有四个输入端。74LS20内部逻辑图及引脚排列如图1-1(a)、(b)所示。 图1-1(a)

1.与非门的逻辑功能 与非门的逻辑功能是:当输入端有一个或 一个以上的低电平时,输出端为高电平;只有 输入端全部为高电平时,输出端才是低电平。 (即有“0”得“1”,全“1”得“0”。) 对与非门进行测试时,门的输入端接数据 开关,开关向上为逻辑“1”,向下为逻辑“0”。 门的输出端接电平指示器,发光管亮为逻辑 “1”,不亮为逻辑“0”。基本测试方法是按真 值表逐项测试,但有时按真值表逐项进行测试 似嫌多余,对于有四个输入端的与非门,它有十六个最小项,实际上只要按表1-1所示的五项进行测试,便可以判断此门的逻辑功能是否正常。 表1-1 2.TTL与非门的主要参数 (1)导通电源电流I CCL与截止电源电流I CCH 与非门在不同的工作状态,电源提供的电流是不同的,I CCL是指输出端空载,所有输入端全部悬空,与非门处于导通状态,电源提供器件的电流。I CCH是指输出端空载,输入端接 输入输出 A n B n C n D n F1F2 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 图1-2(a)图1-2(b) 图1-1(b)

数字电路-实验指导书汇总

TPE-D型系列数字电路实验箱 数字逻辑电路 实验指导书

实验一门电路逻辑功能及测试 实验二组合逻辑电路(半加器、全加器及逻辑运算)实验三时序电路测试及研究 实验四集成计数器及寄存器

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路实验箱及示波器使用方法。 二、实验仪器及器件 1、双踪示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 三、预习要求 1、复习门电路工作原理及相应逻辑表达式。 2、熟悉所用集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前检查实验箱电源是否正常。然后选 择实验用的集成电路,按自己设计的实验接线图 接好连线,特别注意Vcc 及地线不能接错 (Vcc=+5v,地线实验箱上备有)。线接好后经实验 指导教师检查无误可通电实验。实验中改动接线 须先断开电源,接好后在通电实验。 1、测试门电路逻辑功能 ⑴选用双四输入与非门74LS20 一只,插入 面包板(注意集成电路应摆正放平),按图接线, 输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 ⑵将电平开关按表置位,分别测出输出逻辑状态值及电压值填表。 表 2、异或门逻辑功能测试 ⑴选二输入四异或门电路74LS86,按图接线,输入端1、2、4、5 接电平开关

输出插口,输出端A 、B 、Y 接电平显示发光二极管。 ⑵ 将电平开关按表 的状态转换,将结果填入表中。 表 3、逻辑电路的逻辑关系 ⑴ 用 74LS00 双输入四与非门电路,按图、图 接线,将输入输出逻辑关系 分别填入表,表 中。 ⑵ 写出两个电路的逻辑表达式。 4、逻辑门传输延迟时间的测量 用六反相器(非门)按图 接线,输入80KHz 连续脉冲(实验箱脉冲源), 用双踪示波器测输入、输出相位差。计算每个门的平均传输延迟时间的tpd 值 注:表中“1”表示为高电位,“0”表示低电位。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电路实验指导书(14级数计软工)资料

计算机硬件基础实验指导书(2014级数计软工) 每组2人;每个实验完成后,必须于下个实验前提交实验报告(纸质版)。 附录一数字电路实验箱的使用说明 附录二数字电路实验器件引脚排列图 实验仪器及实验器件需求 每台实验箱需配备:1个示波器,1个面包板,1个万用表,1个电位器(可能实验箱上本身就有),若干导线。 所需芯片如下:(每台) 74LS00 与非门1片 74LS86 异或门1片 74LS125 三态门1片 74LS08 与门1片 74LS04 非门1片 74LS20 与非门3片 74LS283 全加器1片 74LS138 译码器1片 74LS151 数据选择器1片 74LS74 D触发器1片 74LS112 JK触发器1片 74LS161 计数器2片

1、电子技术测量仪器的使用及门电路逻辑功能测试 熟悉示波器和数字电路实验箱的使用方法,掌握脉冲信号参数的测试方法。 了解集成电路的外引线排列及其使用方法,测试各种逻辑门电路的逻辑功能。 一、实验目的 了解数字实验箱的原理,掌握其使用方法 了解TTL器件和CMOS器件的使用特点 掌握基本门电路逻辑功能的测试方法 二、实验仪器及实验器件 器件:YB3262实验箱,YB4325示波器,1片74LS00与非门,1个万用表,1片74LS86异或门,1片74LS125三态缓冲器,1片74LS08与门,导线若干。 三、实验内容 (1)数字实验箱的使用(参考附录一) 用万用表测出固定直流稳压源的出去电压值。 用万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观察单次脉冲前后沿(即输出波形的上升和下降时间)的变化。 分别用十六路高低电平信号源和单次脉冲信号源检查十二路高低电平指示灯的好坏。 用十六路高低电平信号源测试七段数码管的工作情况,观察是否正确显示0-9十个数码。 (2)分别写出74LS00,74LS86,74LS08,74LS125的逻辑表达式,列出其真值表,并分别对其逻辑功能进行静态测试。 74LS125三态缓冲器的逻辑功能为:E’为使能端,低电平有效。当E’为低电平时,实现传输功能,逻辑表达试为Y=A;当E’为高电平时,输出Y呈现高阻态。 (3)用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 ①构成或门(图1) ②构成异或门(图2) 图1 图2

数字集成电路实验指导书

《数字集成电路》实验指导书 何爱香 信息与电子工程学院 2013年1月

目录 实验1译码器 (3) 实验2组合逻辑电路..................................................................6实验3半加器...........................................................................8实验4全加器...........................................................................9实验5三进制计数器 (11) 实验6 555多谐振荡器 (13) 实验7电压比较器 (15) 实验8Pspice最坏情况分析 (16)

实验1 译码器 一、 实验目的 1. 理解译码器逻辑功能。 2. 掌握译码器电路设计方法。 二、 实验内容 译码器74155的芯片如下图所示, 76549101112 逻辑函数式:B A Y += 三、 实验步骤 (1) 在pspice 中,启动Place/Part 命令,出现下图所示的选择框,输入 74155,点击OK 。

1设置为低电平。 (2)控制端1C设置为高电平,使能端G 在pspice中,高低电平要用专门的符号来设置,启动Place/Ground 命令,出现下图所示的选择框,在SOURE库中取“$D_HI”符号,即为接入高电平,取“$D_LO”符号,接到电路的输入端,即为接入低电平。 (2)设置输入信号AB 启动Place/Part命令,出现下图所示的选择框,输入DigClock。通过设置时钟信号源参数调整方波的周期可占空比。设置输入信号A的ONTIME和OFFTIME为。设置输入信号B的ONTIME和OFFTIME为1ms

数电实验指导书

数字电路实验指导书 开封大学机电工程学院

目录 第一部分实验基础知识 一实验的基本过程-----------------------------------------3二实验操作规范和故障检查方法-----------------------------4 第二部分基本实验 实验一逻辑门电路的逻辑功能及测试--------------------------6 实验二组合逻辑电路的设计----------------------------------9 实验三译码器及应用---------------------------------------11 实验四触发器---------------------------------------------14 实验五计数器及其应用-------------------------------------17 实验六 555定时器----------------------------------------- 20 附录:常用集成电路引脚功能图---------------------------------24

第一部分实验基础知识 随着科学技术的发展,数字电子技术在各个科学领域中都得到了广泛的应用,它是一门实践性很强的技术基础课,在学习中不仅要掌握基本原理和基本方法,更重要的是学会灵活应用。因此,需要配有一定数量的实验,才能掌握这门课程的基本内容,熟悉各单元电路的工作原理,各集成器件的逻辑功能和使用方法,从而有效地培养学生理论联系实际和解决实际问题的能力,树立科学的工作作风。 一.实验的基本过程 实验的基本过程,应包括:确定实验内容、选定最佳的实验方法和实验线路、拟出较好的实验步骤、合理选择仪器设备和元器件、进行连接安装和调试、最后写出完整的实验报告。 在进行数字电路实验时,充分掌握和正确利用集成器件及其构成的数字电路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做好实验预习、实验记录和实验报告等环节。 (一)实验预习 认真预习是做好实验的关键。预习好坏,不仅关系到实验能否顺利进行,而且直接影响实验效果。预习应按本教材的实验预习要求进行,在每次实验前首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内容包括: 1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值,必要时还须用文字说明。 2.拟定实验方法和步骤。 3.拟好记录实验数据的表格和波形座标。 4.列出元器件单。 (二)实验记录 实验记录是实验过程中获得的第一手资料。测试过程中所测试的数据和波形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现场及时重复测试,找出原因。实验记录应包括如下内容: 1.实验任务、名称及内容。 2.实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的正确性。 3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。 4.实验中实际使用的仪器型号和编号以及元器件使用情况。 (三)实验报告 实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。 实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。报告内容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等,其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且要按实验任务逐个书写,每个实验任务应有如下内容:

数电实验指导书

数电实验指导书

目录 一、TTL集成逻辑门的参数测试……………………………… 二、CMOS集成逻辑门的参数测试………………………… 三、TTL集电极开路门与三态输出门的应用…………………… 四、加法器………………………………………………………… 五、触发器…………………………………………………… 实验一 TTL集成逻辑门的参数测试 一、实验目的 1.掌握TTL集成与非门的主要参数、特性的意义及测试方法。

2.熟悉EEL—08的基本功能和使用方法。 二、实验原理 TTL集成与非门是数字电路中广泛使用的一种逻辑门,本实验采用4输入双与非门74LS20,在一片集成块内含有两个互相独立的与非门,每个与非门有四个输入端。74LS20内部逻辑图及脚排列如图1—1(a)、(b)所示。 1.与非门的逻辑功能 与非门的逻辑功能是:当输入端有一个或一个以 上的低电平时,输出端为高电平;只有输入端全部为 高电平时,输出端才是低电平。(即有“0”得“1”, 全“1”得“0”) 对与非门进行测试时,门的输入端接逻辑开关, 开关向上为逻辑“1”,向下为逻辑“0”。门的输出端 接电平指示器,发光管亮为逻辑“1”,不亮为逻辑“0”。 基本测试方法是按真值表逐项测试,但有时按真值表 逐项进行测试似嫌多余,对于有四个输入端的与非 门,它有十六个最小项,实际上只要按表1—1所示 的五项进行测试,便可以判断此门的逻辑功能是否 正常。

2.TTL与非门的主要参数: (1)导通电源电流I CCL与截止电源电流I CCH 与非门在不同的工作状态,电源提供的电流是不同的,I CCL是指输出端空载,所有输入端全部悬空,与非门处于导通状态,电源提供器件的电流。I CCH是指输出端空载,输入端接地,与非门处于截止状态,电源提供器件的电流。测试电路如图1—2(a)、(b)所示。通常I CCL <I CCH,它们的大小标志着与非门在静态情况下的功耗大小。 导通功耗:P CCL =I CCL U CC 截止功耗:P CCH =I CCH U CC 由于I CCL较大,一般手册中给出的功耗是指P CCL。 (2)低电平输入电流I iL与高电平输入电流I iH I iL是指被测输入端接地,其余输入端悬空,由被测输入端流出的电流,如图1—3(a)所示,在多级门电路中它相当于前级门输出低电平时,后级向前级门灌入的电流,因而它的大小关系到前级门的灌电流负载能力,因此希望I iL小些。 I iH是指被测输入端接高电平,其余输入端接地,流入被测输入端的电流,如图1—3(b)所示,在多级门电路中它相当于前级门输出高电平时,前级门的拉电流负载,它的大小关系到前级门的拉电流负载能力,因此希望I iH小。由于I iH较小,难以测量,所以一般免于测试此项内容。

相关主题
文本预览
相关文档 最新文档