当前位置:文档之家› 电子科大电子技术基础实验II 仿真实验报告

电子科大电子技术基础实验II 仿真实验报告

电子科大电子技术基础实验II   仿真实验报告
电子科大电子技术基础实验II   仿真实验报告

电子技术基础实验报告

电子技术实验报告学号: 2220 姓名:刘娟 专业:教育技术学 实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: — 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 实验前校准示波器,检查信号源。 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 ~ 表3-1 … 输入端接入f=1KHz、V i=20mV 的正弦信号。 分别测出电阻R1两端对地信 号电压V i 及V i ′按下式计算 出输入电阻R i : 测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下 式计算出输出电阻R ; 将测量数据及实验结果填入表3-2中。 V i (mV)Vi′(mV)R i ()V ∞ (V)V (V)R () 调整 R P2测量 V C (V)Ve(V)Vb(V)Vb1(V)

[ 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 调节R P2使 输出电压波形不失 真且幅值 为最大(这 时的电压 放大倍数 最大), 测量此时 的静态工作点V c 、V B 、V b1和V O 。 表 3-4 ` 五、实验报告 1、分析输 入电阻 和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 、 阻值 波 形 何种失真 正常 不失真 R P2减小 饱和失真 R P2增大 ? 截止失真 V b1 (V) V C (V) V B (V) V O (V)

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子科技大学 汇编 实验报告

计算机专业类课程 实 验 报 告 课程名称:汇编语言程序设计 学院:计算机科学与工程 专业:计算机科学与技术 学生姓名:郭小明 学号:2011060100010 日期:2013年12月24日

电子科技大学 实验报告 实验一 学生姓名:郭小明学号:2011060100010 一、实验室名称:主楼A2-412 二、实验项目名称:汇编源程序的上机调试操作基础训练 三、实验原理: DEBUG 的基本调试命令;汇编数据传送和算术运算指令 MASM宏汇编开发环境使用调试方法 四、实验目的: 1. 掌握DEBUG 的基本命令及其功能 2. 学习数据传送和算术运算指令的用法 3.熟悉在PC机上编辑、汇编、连接、调试和运行汇编语言程序的过程五、实验内容: 编写程序计算以下表达式: Z=(5X+2Y-7)/2 设X、Y的值放在字节变量VARX、VARY中,结果存放在字节单元VARZ中。 1.编辑源程序,建立一个以后缀为.ASM的文件. 2.汇编源程序,检查程序有否错误,有错时回到编辑状态,修改程序中错误行。无错时继续第3步。 3.连接目标程序,产生可执行程序。

4.用DEBUG程序调试可执行程序,记录数据段的内容。 六、实验器材(设备、元器件): PC机,MASM软件平台。 七、实验数据及结果分析: 程序说明: 功能:本程序完成Z=(5X+2Y-7)/2这个等式的计算结果求取。其中X 与Y 是已知量,Z是待求量。 结构:首先定义数据段,两个DB变量VARX与VARY(已经初始化),以及结果存放在VARZ,初始化为?。然后定义堆栈段,然后书写代码段,代码段使用顺序程序设计本程序,重点使用MOV和IMUL以及XOR,IDIV完成程序设计。详细内容见程序注释。 程序清单:

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电子科大电子技术实验报告

电子科技大学 电子技术实验报告 学生姓名:班级学号:考核成绩:实验地点:仿真指导教师:实验时间: 实验报告内容:1、实验名称、目的、原理及方案2、经过整理的实验数据、曲线3、对实验结果的分析、讨论以及得出的结论4、对指定问题的回答 实验报告要求:书写清楚、文字简洁、图表工整,并附原始记录,按时交任课老师评阅实验名称:负反馈放大电路的设计、测试与调试

一、实验目的 1、掌握负反馈电路的设计原理,各性能指标的测试原理。 2、加深理解负反馈对电路性能指标的影响。 3、掌握用正弦测试方法对负反馈放大器性能的测量。 二、实验原理 1、负反馈放大器 所谓的反馈放大器就是将放大器的输出信号送入一个称为反馈网络的附加电路后在放大器的输入端产生反馈信号,该反馈信号与放大器原来的输入信号共同控制放大器的输入,这样就构成了反馈放大器。单环的理想反馈模型如下图所示,它是由理想基本放大器和理想反馈网络再加一个求和环节构成。 反馈信号是放大器的输入减弱成为负反馈,反馈信号使放大器的输入增强成为正反馈。四种反馈类型分别为:电压取样电压求和负反馈,电压取样电流求和负反馈,电流取样电压求和负反馈,电流取样电流求和负反馈。 2、实验电路

实验电路如下图所示,可以判断其反馈类型累电压取样电压求和负反馈。 3.电压取样电压求和负反馈对放大器性能的影响 引入负反馈会使放大器的增益降低。负反馈虽然牺牲了放大器的放大倍数,但它改善了放大器的其他性能指标,对电压串联负反馈有以下指标的改善。 可以扩展闭环增益的通频带 放大电路中存在耦合电容和旁路电容以及有源器件内部的极间电容,使得放大器存在有效放大信号的上下限频率。负反馈能降低和提高,从而扩张通频带。 电压求和负反馈使输入电阻增大 当 v一定,电压求和负反馈使净输入电压减小,从而使输入电流 s

电子技术基础实验报告-BJT共射极放大电路仿真报告

《电子技术基础实验报告》 实验名称:BJT共射极放大电路仿真组合 1、实验名称: BJT共射极放大电路的Pspices实验仿真 2、实验设计要求以及内容: 对BJT共射极放大电路仿真分析。包括静态工作点分析Bias point analysis(观测IB、IC、VBE、VCE的值)、瞬态分析Transient analysis(观测输入、输出电压波形,并计算电压增益Av)、交流分析AC sweep analysis(观测幅频响应曲线:中频增益、上限频率和下限频率,观测相频响应曲线,观测输入电阻的频率响应,观测输出电阻的频率响应)。 3、实验具体设计: 创建工程项目文件、编辑电路原理图、设置仿真分析类型、生成网表Pspice/Create netlist 、仿真分析、查看仿真输出结果。实验电路图如下所示。 4、输出仿真波形以及相关数据: 4.1、静态工作点分析(Bias point analysis): 静态工作点仿真数据如下:

(电压单位:V、电流单位:A) Bf 80 NAME Q_Q1 MODEL Q2N3904 IB 2.52E-05 IC 1.82E-03 VBE 6.80E-01 VBC -5.73E+00 VCE 6.41E+00 4.2、瞬态分析(Transient analysis): (具体数据见报告最后) 图像由上至下分别为输出电压Vout和输入电压Vin的波形。电压增益Av=18.75。 4.3、交流分析(AC sweep analysis): (具体数据见报告最后) 图像由上至下分别为P(Vout/Vin)和DB(Vout/Vin)的波形。 图像(Vin/ICB)(输入电阻)的波形。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电子技术基础实验仿真报告

学院:微电子与固体电子学院指导老师: 学生: 学号:

3.16多级放大电路设计及测试 一、实验目的 1.理解多级直接耦合放大电路的工作原理和设计方法。 2.学习并熟悉设计高增益的多级直接耦合放大电路的方法。 3.掌握多级放大器性能指标的测试方法。 4.掌握在放大电路中引入负反馈的方法。 二、设计要求 用给定的三极管2SC1815(NPN),2SA1015(PNP)设计多级放大器,已知VCC=+12V,VEE=-12V,要求设计差分放大器恒流源的射极电流 IEQ=2~3mA;差分放大器的单端输入单端输出不失真电压增益至少大于10倍,主放大器的不失真电压增益不少于100倍; 三、电路原理. 直接耦合式多级放大器的主要设计任务是模仿运行运算放大器op07的等效内部结构,简化部分电路,采用差分放大,共射放大,互补输出等结构形式,设计出一个电压增益足够高的多级放大器,可对小信号进行不失真的放大。实验原理图如下:

各部分原件参数如下: R1=5KΩ;R2=9KΩ;R3=10KΩ;R4=500Ω;R5=10KΩ;R6=10KΩ;R7=1kΩ;R8=1Ω; R9=1Ω;R10=1Ω P1=10KΩ, P2=20KΩ V1=1mV,VCC=+12V,VEE=-12V, C1=0.01PF C2=4uF C3=0.01PF 晶体管为2SC1815和2SA1015 二极管为1N3208 四、实验内容:

所测得各数据如图 性能指标一:IEQ3=1~2mA。 如上图所示,IEQ3=1.143mA符合要求。 性能指标二:IEQ4=2~3mA 如上图所示IEQ4=2.209mA,符合要求。 性能指标三:差分放大器的单端输入单端输出不失真电压增益至少大于10倍。 如上图所示,vpp=26.476mV相对于1mV放大约26倍符合要求。 性能指标四:主放大级的不失真电压增益不小于100倍。 如上图所示,vpp=2.809V相对于26.476mV放大了约106倍,符合要求

模拟电子技术基础实验2010-2011第一学期试题(实验)

1.按图接线,调节电位器R P ,使V C =6.4V 左右。输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。分别测量不接负载时和负载电阻为5.1K Ω时的V i 和V 0,计算电压放大倍数,得出负载电阻对放大倍数的影响。 1、2、3题图 2.按图接线。(1)调节电位器R P ,使V C =6.4V 左右。测量静态工作时的V C 、V B 、V E 以及其它需要的数值,计算静态工作点。(2)负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0,计算电压放大倍数。 3.按图接线,调节电位器R P ,使V C =6.4V 左右。负载电阻取R L =5.1K Ω,输入f=1KHz 的正弦信号, 幅度以保证输出波形不失真为准。测量V i 和V 0。然后把R C 改成2K Ω,再测量V i 和V 0,分别计算电压放大倍数,得出R C 对放大倍数的影响。 4.按图接线。(1) 调节电位器R P ,使电路静态工作点合适,测量静态工作时的V C 、V B 、V E ;(2)输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量V s 、 V i 和V 0,计算电压放大倍数A V 和A VS ,并总结射极输出器的特点。 4、5、6题图 5.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以 Vs Vi

保证输出波形不失真为准。测量V s 、 V i ,计算输入电阻R i (R S =5.1K )。 6.按图接线。调节电位器R P ,使电路静态工作点合适,输入f=1KHz 的正弦波信号, 幅度以保证输出波形不失真为准。测量空载时的输出电压V 0 和加负载时的输出电压V L (R L =2.2K ),计算输出电阻R o 7、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 8、 按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 9、按图接线。在输入端输入直流电压,测量对应的输出电压,并与理论值比较,填入表格,并说明运算关系及产生误差的原因。 10、按图接线。在输入端输入直流电压,测量对

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

【免费下载】模拟电子技术基础仿真实验

模拟电子技术基础仿真实验报告 2013020913018 张东恒 研究二极管对直流量和交流量表现的不同特点仿真电路如下: 、管路敷设技术通过管线敷设技术,不仅可以解决吊顶层配置不规范问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

模拟电子技术基础实验

模拟电子技术基础实验 实验一常用电子仪器的使用 实验二常用电子元件的识别与检测 实验三放大器静态工作点和电压放大倍数的测量实验四放大器输入、输出电阻和频率特性的测量实验五射极跟随器 实验六负反馈放大电路 实验七差动放大电路

实验一常用电子仪器的使用 一、实验目的 1、了解示波器的工作原理,初步掌握用示波器观察信号波形和测量波形参数的方法。 2、了解低频信号发生器和低频毫伏表的工作原理,初步学会正确使用这两种基本仪器。 二、实验仪器及器材 双踪示波器低频信号发生器低频毫伏表 三、实验原理 示波器、信号发生器和低频毫伏表是测量、调试电子线路的基本常用仪器,几乎每次实验都要用到这些仪器,能够熟练地、正确地使用这些仪器,是做好电子线路实验的保证。下面分别介绍这些仪器的一般工作原理和使用方法。 示波器及其应用 示波器是一种可以定量观测电信号波形的电子仪器。由于它能够在屏幕上直接显示电信号的波形,因此人们形象地称之为“示波器”。如果我们将普通示波器的结构和功能稍加扩展,便可以方便地组成晶体图示仪、扫频仪和各种雷达设备等。若借助于相应的转换器,它还可以用来观测各种非电量,如温度、压力、流量、生物信号(能够转换成电信号的各种模拟量)等。 示波器的种类繁多,分类方法也各不相同。如按照示波管的不同来分,示波器可分为单线示波器和双线示波器;按照其功能不同来分,示波器又可分为通用示波器和专用示波器两大类;按显示方式不同也可分为单踪示波器、双踪示波器和多踪示波器。此外,示波器还有存贮示波器和非存贮示波器之分。现代的示波器正朝着高宽带、高精度、高性能价格比和多通道、多功能、智能化的方向发展。下面,以通用示波器为例介绍示波器的一般工作原理和使用方法。 1.示波器的基本组成 虽然示波器的种类很多,但无论哪种类型的示波器,一般都包含有示波管、垂直(Y轴)放大系统、水平(X轴)放大系统、扫描发生器、触发同步电路和直流电源等六大基本组成部分,其基本结构方框图如图所示。

数字电子技术基础实验指导书1

数字电子技术基础实验指导书

实验一、认识实验 一、实验目的:1、熟悉面包板的结构 2、进一步掌握与非门、或非门、异或门的功能 3、初步尝试在面包板上连接逻辑电路 二、实验用仪器:面包板一块 74LS00一块 74LS20一块 74LS02(四二输入或非门)一块、 74LS86(四二输入异或门)一块 万用表一块 导线若干 稳压电源一台 三、面包板和4LS00、74LS20、74LS02、74LS86的介绍: 1 面包板上的小孔每5个为一组,其内部有导线相连。横排小孔是4、3、4(3、4、3)的结构,即每5*4(5*3)、5*3(5*4)、5*4(5*3)组横排小孔内部有导线相连。用到的双列直插式集成块跨接在凹槽两边,管脚插入小孔。通常用面包板的上横排小孔接电源,用下横排小孔接地。 2、74LS00的内部结构示意图: 74LS00的管脚排列如上图所示,为双列直插式14管脚集成块,是四集成二输入与非门。 74LS20是二四输入与非门。 VCC 3A 3B 3Y 4A 4B 4Y VCC 2A 2B NC 2C 2D 4Y 1A 1B 1Y 2A 2B 2Y GND 1A 1B NC 1C 1D 1Y GND 74LS00 74LS20

1Y 1A 1B 2Y 2A 2B GND 1A 1B 1Y 2A 2B 2Y GND 四、实验内容与步骤: 1、测试面包板的内部结构情况: 用两根导线插入小孔,用万用表的电阻挡分别测试小孔组与组之间的导通情况,并记录下来。 2、验证与非门的逻辑功能: 1)将4LS00插入面包板,并接通电源和地。 2)选择其中的一个与非门,进行功能验证。 3)、将验证结果填入表1: 表1 其中,A 、B 1”时,输入端接电源;Y 是输出端,用万用表(或发光二极管)测得在不同输入取值组合情况下的输出,并将结果填入表中。 5)分析测得的结果是否符合“与非”的关系。 *3、以同样的方法验证四输入“与非门”、“或非”门、“异或”门的功能。 4、用TTL 与非门实现“或”逻辑Y=A+B 1)将Y=A+B 变成与非表达式 2)利用“与非”门实现逻辑电路,并验证逻辑功能是否正确,将验证结果填入表2。 表2 3注意:带*号的为选做内容。 五、实验总结报告的写法: 实验题目: 一、实验目的: 二、实验用仪器: 三、实验内容及步骤:将实验中出现的问题、现象、 及结果随时记录下来。 四、实验结果分析:将实验中出现的现象、结果进 行分析。 五:实验总结:总结这次实验的得失成败。 *布线规则:横平竖直拐直角,不交叉,不架空。 *TTL 与非门使用注意事项:1、电源电压+5V 。

电子技术基础实验指导书

实验一电子测量与元件测试 一、实验目的 1、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 2、熟悉常用电子元器件基础知识 3、掌握使用万用表辨别常用元器件的方法。 二、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 1、示波器的使用 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较底时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被测信号的波形不在X轴方向左右移动,这样的现象仍属于稳定显示。

数字电子技术实验报告册20141103汇总

2014-2015(1)学期数字电子技术实验报告册 班级 学号 姓名 北京信息科技大学 电子信息与控制实验教学中心 数字电子技术课程组

目录 实验一门电路的功能测试 (3) 实验二组合逻辑电路的设计................................................... 错误!未定义书签。实验三计数、译码、显示电路的设计 ................................... 错误!未定义书签。实验四555定时器设计电路.................................................... 错误!未定义书签。实验五基于FPGA的分频器的设计................................... 错误!未定义书签。实验六基于FPGA的跑马灯的设计....................................... 错误!未定义书签。

实验一门电路的功能测试 一、实验目的 (1)熟悉数字电路实验装置,能正确使用装置上的资源设计实验方案; (2)熟悉双列直插式集成电路的引脚排列及使用方法; (3)熟悉并验证典型集成门电路逻辑功能。 (4)了解数字集成电路数据手册的使用。 二、实验器材与仪器 (1)数字电路实验装置1台; (2)数字万用表1块; (3)双列直插集成电路芯片74LS00、74LS86、74LS125各1片,导线若干。 三、实验内容及步骤 使用数字电路实验装置,将相应的集成芯片插入IC插座,并使用导线将门电路输入端接实验箱的逻辑电平开关或脉冲,输出端接发光二极管LED或数码显示、蜂鸣器等,测试与非门、异或门、三态同相输出缓冲器的逻辑功能以及应用电路逻辑功能。 (1)验证与非门的逻辑功能 a)按照图1.1连接电路; b)电路通电运行,验证不同输入信号下,输出信号的逻辑状态; 实验测试数据见表1.1所示; c)通过分析实验数据,归纳总结与非门逻辑功能如下。 (2)与非门的应用一 a)按照图1.2连接电路;选择74LS00集成电路芯片中的另一个与 非门,将两个输入端短接,当一个输入端用。 b)电路通电运行,拨动开关S3,观察输出信号逻辑状态随输入的 变化,实验数据见表1.2。 c)通过分析实验现象,现归纳总结实验结果如下。

电子技术基础实验课后思考题答案

目录 习题一 (1) 习题二 (6) 习题三 (16) 习题四 (24) 习题五 (30) 习题六 (35) 习题七 (37) 习题八 (46)

习题一 1.1 解释名词:①测量;②电子测量。 答:测量是为确定被测对象的量值而进行的实验过程。在这个过程中,人们借助专门的设备,把被测量与标准的同类单位量进行比较,从而确定被测量与单位量之间的数值关系,最后用数值和单位共同表示测量结果。从广义上说,凡是利用电子技术进行的测量都可以说是电子测量;从狭义上说,电子测量是指在电子学中测量有关电的量值的测量。 1.2 叙述直接测量、间接测量、组合测量的特点,并各举一两个测量实例。 答:直接测量:它是指直接从测量仪表的读数获取被测量量值的方法。如:用电压表测量电阻两端的电压,用电流表测量电阻中的电流。 间接测量:利用直接测量的量与被测量之间的函数关系,间接得到被测量量值的测量方法。如:用伏安法测量电阻消耗的直流功率P,可以通过直接测量电压U,电流I,而后根据函数关系P=UI,经过计算,间接获得电阻消耗的功耗P;用伏安法测量电阻。 组合测量:当某项测量结果需用多个参数表达时,可通过改变测试条件进行多次测量,根据测量量与参数间的函数关系列出方程组并求解,进而得到未知量,这种测量方法称为组合测量。例如,电阻器电阻温度系数的测量。 1.3 解释偏差式、零位式和微差式测量法的含义,并列举测量实例。 答:偏差式测量法:在测量过程中,用仪器仪表指针的位移(偏差)表示被测量大小的测量方法,称为偏差式测量法。例如使用万用表测量电压、电流等。 零位式测量法:测量时用被测量与标准量相比较,用零示器指示被测量与标准量相等(平衡),从而获得被测量从而获得被测量。如利用惠斯登电桥测量电阻。 微差式测量法:通过测量待测量与基准量之差来得到待测量量值。如用微差法测量直流稳压源的稳定度。

《数字电子技术基础》实验报告撰写模版

《数字电子技术基础》实验报告撰写模版

实验报告 实验名称__TTL逻辑门电路的变换_ 课程名称电子技术实验(数字) 院系部:专业班级:学生姓名:学号:同组人:实验台号:指导教师:成绩: 实验日期: 华北电力大学

实验报告的撰写要求 实验报告要能真实的反映实验过程和结果,是对实验进行总结、提高的重要环节,应当认真撰写。实验报告的要求是有理论分析,要实事求是,字迹要清楚,文理要通顺。 实验报告的内容包括: 1、实验目的及要求。 2、实验仪器:列出完成本次实验的实验条件。 3、实验原理:实验项目的已知条件、技术指标、实验电路。 4、实验步骤:根据实验内容的要求对电路进行测量与调整方法、出现的故 障以及排除故障的方法。 5、讨论与结论:总结实验心得体会和收获,解答思考题,对实验中存在的 问题等进行分析和讨论,对实验的进一步想法或改进意见。 6、原始数据记录:原始数据是指在实验过程中按照实验要求进行测量的、未经任何处理的数据和波形,是进行数据处理的依据。要求将实验教材中的“实验原始数据记录”撕下,粘贴在实验报告“实验原始数据粘贴处”,复印无效。

实验报告要求: 一、实验目的及要求 二、仪器用具 仪器名称规格/型号数量备注数字信号发生 1 器 逻辑转换器 1 74LS00 3 三、实验原理 四、实验步骤(包括原理图、实验结果与数据处理) 五、讨论与结论(对实验现象、实验故障及处理方法、实验中存在的问题等进行分析和讨论,对实验的进一步想法或改进意见。) 六、实验原始数据

附页1 一、实验目的及要求 1. 熟悉用标准与非门实现逻辑变换的方法。 2. 进一步掌握门电路逻辑变换的测试方法。 3. 学习基本元器件的选取和电路的连接方法。 4. 学习Multisim中单刀开关的使用方法。 5. 学习Multisim中数字信号发生器、逻辑转换器等虚拟仪器的使用方法。 二、仪器用具(略) 三、实验原理 借助摩根定理,可以把“或”、“与或”、“异或”、“同或”等逻辑关系用“与非门”来实现。如: + = = F? = + AB CD AB CD CD AB 四、实验步骤(包括原理图、实验结果与数据处理) 1. 用TTL与非门组成下列逻辑电路,并在Multisim 中进行仿真,测试它们的逻辑功能,

相关主题
文本预览
相关文档 最新文档