当前位置:文档之家› S7300400PLC编程之12 跑马灯功能的实现方法

S7300400PLC编程之12 跑马灯功能的实现方法

S7300400PLC编程之12 跑马灯功能的实现方法
S7300400PLC编程之12 跑马灯功能的实现方法

今天有一个网友在邮件中跟我讨论,如何在S7300/400PLC编程实现跑马灯的效果,我简单的做了一个demo程序,可以实现跑马灯的功能,分享给大伙,抛砖引玉,给大家一个思考的话题,此功能具体的应用还需要大家自己去探索。

以下就是程序的截图分享给大家!

1、程序截图

2、仿真通过,以下是变量表

数字电路设计跑马灯

数字电路课程设计报告设计课题:跑马灯 专业班级:12电信2班 学生姓名:刘鹏 学号:120802084 指导教师:曾祥志 设计时间:2016.4-2016.5

目录 1 设计要求 (2) 1.1 设计规范 (2) 2 设计方案 (2) 2.1题目分析 (2) 2.2 整体构思 (2) 3 元件说明 (3) 3.1 元件说明 (3) 3.2电路原理图 (3) 4 安装与调试 (6) 5 心得体会........................... ............. (7) 6参考文献......................................... .. (7) 7附录 (8)

1 设计任务与要求 1.1 设计规范 (1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。 (2)、安装调试所设计的电路,使之达到设计要求。 (3)、记录实验结果。 (4)、撰写设计报告。 设计要求 (1)实现10灯循环点亮。 (2) 红绿灯各5盏。 (3)实现红绿灯流水交替显示。 2设计方案 2.1题目分析 我们设计的跑马灯实际上是主要使用一个555芯片产生脉冲给到,CD4017芯片对10个LED进行控制,产生流水交替的效果。形成跑马灯。 2.2 整体构思 拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用电路设计软件画出原理图。 3. 元件说明 3.1元件说明 555功能:555 的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当5 脚悬空时,则电压比较器C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端TR 的电压小于VCC /3,则比较器C2 的输

PLC控制跑马灯系统的设计

河南工业职业技术学院 2012—2013学年 第一学期 毕业设计 课题名称:PLC控制跑马灯系统的设计设计时间:20012.9.25—20012.10.21 系部:机电工程系 班级:机电1003 姓名:杨杰 指导教师:朱文琦

目录 前言 (3) 第1章概论 (5) 1.1跑马灯系统的意义、现状及要求 (5) 1.1.2 技术现状 (5) 1.2彩灯的发展 (6) 1.2.1 彩灯的最初来源 (6) 1.2.2 彩灯给城市带来的五彩缤纷 (6) 1.3PLC的发展前景及趋势 (7) 1.4PLC的定义及特点 (11) 第2章硬件电路的设计 (13) 2.1跑马灯电路的设计 (13) 2.2开关电路的设计 (14) 第3章软件设计 (15) 3.1软件设计的方案 (11) 3.2PLC结构 (14) 3.3工作原理 (15) 3.4编程语言 (16) 3.5S7-200的指令系统 (18) 3.6跑马灯的工作要求 (19) 3.7梯形图 (20) 结束语 (26) 致谢 (27)

参考文献 (28) 附图 (29) PLC控制跑马灯系统的设计 [摘要]本次毕业设计是应用S7-200 PLC跑马灯设计的硬件电路,并利用OB1的梯形图控制程序设计。通过控制S7-200 PLC的定时继电器的功能 来实现各彩灯按一定的规律点亮和熄灭。接通延迟定时器SD的特点(如 果RLO有正跳沿,则接通延迟定时器启动指令,以设定的时间值启动 指令的定时器)。这种控制电路结构简单,可靠性高,应用性强;软 件程序适应范围广,对各彩灯按一定的规律点亮和熄灭的控制,只需 要改变相应的定时器的时间接通即可。 [关键词] PLC 彩灯梯形图;

变速跑马灯的proteus仿真电路及C语言程序设计

#define uchar unsigned char //定义一下方便使用 #define uint unsigned int #define ulong unsigned long #include //包括一个52标准内核的头文件 sbit P10 = P1^0; //头文件中没有定义的IO就要自己来定义了 sbit P11 = P1^1; sbit P12 = P1^2; sbit P13 = P1^3; bit ldelay=0; //长定时溢出标记,预置是0 uchar speed=10; //设置一个变量保存跑马灯的移动速度 char code dx516[3] _at_ 0x003b;//这是为了仿真设置的 //自动变速的跑马灯试验 void main(void) // 主程序 { uchar code ledp[4]={0xfe,0xfd,0xfb,0xf7};//预定的写入P1的值uchar ledi; //用来指示显示顺序 RCAP2H =0x10; //赋T2的预置值0x1000,溢出30次就是1秒钟RCAP2L =0x00; TR2=1; //启动定时器 ET2=1; //打开定时器2中断 EA=1; //打开总中断 while(1) //主程序循环 { if(ldelay) //发现有时间溢出标记,进入处理 { ldelay=0; //清除标记 P1=ledp[ledi]; //读出一个值送到P1口 ledi++; //指向下一个 if(ledi==4) { ledi=0; //到了最后一个灯就换到第一个 speed--; if(speed==0)speed=10;//每循环显示一次,就调快一次溢出速度} } } } //定时器2中断 timer2() interrupt 5 { static uchar t; TF2=0; t++; if(t==speed) //比较一个变化的数值,以实现变化的时间溢出

数字电子电路 数电 实验报告 基于FPGA的跑马灯电路的设计

装 … …订 ……线 基于FPGA的跑马灯电路的设计 一、实验目的 1、进一步熟悉MAXPLUS II、Multisim或QUARTUS II等电子开发工具的基本使用方法。 2、掌握使用移位寄存器、计数器、译码器等集成电路进行综合电路设计方法 3、运用图解法设计跑马灯电路,并进行电路仿真。 二、实验内容 实验题目:设计一个跑马灯电路。要求输出8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。 首先根据题目要求设计一下电路的实现方法,利用加法计数器(74LS161)和74LS138(3-8译码器)可实现8个LED灯从左到右逐个点亮的单向循环,实际电路如下图: 而要完成实验的要求,需将计数器74161的输出信号变为000到111的加计数,再由111到000的减计数,然后循环。 实现实验题目的方法有两种: 1、将计数器74LS161的输出端QA、QB、QC分别与QD异或,经过异或门输入到74LS138的A、B、C端,其数据符合题目的数据要求。可以实现8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。但第1个灯和第8个灯显示的时间长度是其它灯的2倍。 Electronics Workbench 实验图1 2、使用可逆计数器74LS191来完成电路的计数部分,利用可逆计数器74LS191的加减计数控制端 U/D′,U/D′输入低电平时计数器为加法计数器,U/D′输入高电平时计数器为减法计数器。 当计数器74LS191加计数到7(0111)时,通过与门取出信号,经过或门使D 触发器输出由原来的低

装 … …订 ……线电平反转为高电平,通过加减控制端U/D′,使计数器由加法计数变为减法计数。当计数器74LS191减计数到0(0000)时,借位输出端产生信号,经过或门使D触发器输出由原来的高电平反转为低电平,通过加减控制端U/D′,使计数器由减法计数变为加法计数。计数器输出信号为0000到0111的加计数,再由0111到0000的减计数,不断循环。再经过3—8译码器使8个LED灯从左到右逐个点亮,然后再从右到左逐个点亮,循环。 Electronics Workbench 实验图2 本次实验使用软件MAXPLUS II、Multisim或QUARTUS II皆可。但要求至少要使用2种软件实现电路设计。 三、实验步骤 提前进行电路设计。要求在实验报告中写出详细的设计过程,包括设计思路,和预计输出结果,画出逻辑电路图,实际应使用的芯片。

跑马灯电路设计

跑马灯分析如下: 跑马灯电路采用74LS194为核心控制彩灯左移、右移及闪烁功能,围绕74LS194的S1、S0工作的控制方式,S1、S0需要自动周期性的变化,为了实现自动模式转换,设计一个状态计数器,控制彩灯模式转换,利用74153双四选一电路,将S1、S0的状态置入74194,完成周期性地读取。 本设计方案的关键是S1、S0状态信息如何传送给74194,S1、S0状态信息要与整个彩灯控制电路相匹配,不同的S1、S0状态,送入74LS194数据输入端的数据不同,利用74LS153作为数据选通,连接到74LS194数据输入端,不同的工作状态,选通数据输出不同,这是设计的主要关键点之一。 电路中,利用74161完成状态计数工作,每8个时钟脉冲,状态计数器完成加一操作,完成移位方式控制,利用74194完成左移或右移及闪烁功能功能,利用74153完成左右移数据输入选通控制。

根据任务要求列出自动循环状态和74194移位控制工作方式表2。 表2 X/0表示电路设计过程中,尽管状态表中取任意态,但在实际电路连接中,取低电平。 彩灯控制器完成左移、右移、闪烁及同时左右移,只需要4种状态,故状态计数器完成模4计数即可。移位寄存器的工作状态由方式控制字S1、S0决定,查阅74LS194数据手册,确定S1、S0工作方式。利用表.2,分析S1、S0的状态。因为彩灯是八路输出,用两片74LS194,其中高位标号为74194B,低位为74194A,所以高位的74LS194工作方式标称为BS1、BS0,低位为AS1、AS0。将BS1、BS0、AS1、AS0放在一起,用74153将数据状态选通输出即可 从表格中可以看出,状态计数器描述计数状态,移位寄存器完成左移、右移、闪烁、左右同时移动功能,且自动循环进行。

跑马灯实验报告

电子系统综合设计报告 学号 201009120229 姓名李文海年级专业 2010级电子信息工程(二) 指导 教师刘怀强 学院理学院 走马灯实验论文--《嵌入式系统技术》 1、实验目的 1、学会dp-51pro实验仪监控程序下载、动态调试等联机调试功能的使用; 2、理解和学会单片机并口的作为通用i/o的使用; 3、理解和学会单片机外部中断的使用; 4、了解单片机定时器/计数器的应用。 2、实验设备 z pc 机、arm 仿真器、2440 实验箱、串口线。 3、实验内容 z 熟悉 arm 开发环境的建立。 z 使用 arm 汇编和 c 语言设置 gpio 口的相应寄存器。 z 编写跑马灯程序。 5、实验原理 走马灯实验是一个硬件实验,因此要求使用dp-51pro 单片机综合仿真实验仪进行硬件 仿真,首先要求先进行软件仿真,排除软件语法错误,保证关键程序段的正确。然后连接仿 真仪,下载监控程序,进行主机与实验箱联机仿真。 为了使单独编译的 c 语言程序和汇编程序之间能够相互调用,必须为子程序间的调用规 定一定的规则。atpcs ,即 arm , thumb 过程调用标准(arm/thumb procedure call standard),是 arm 程序和 thumb 程序中子程序调用的基本规则,它规定了一些子程序间调 用的基本规则,如子程序调用过程中的寄存器的使用规则,堆栈的使用规则,参数的传递规 则等。 下面结合实际介绍几种 atpcs 规则,如果读者想了解更多的规则,可以查看相关的书 籍。 1.基本 atpcs 基本 atpcs 规定了在子程序调用时的一些基本规则,包括下面 3 方面的内容: (1)各寄存器的使用规则及其相应的名称。 (2)数据栈的使用规则。 (3)参数传递的规则。 相对于其它类型的 atpcs,满足基本 atpcs 的程序的执行速度更快,所占用的内存更少。 但是它不能提供以下的支持: arm 程序和 thumb 程序相互调用,数据以及代码的位置无关 的支持,子程序的可重入性,数据栈检查的支持。 而派生的其他几种特定的 atpcs 就是在基本 atpcs 的基础上再添加其他的规则而形成 的。其目的就是提供上述的功能。 2.寄存器的使用规则 寄存器的使用必须满足下面的规则: (1) 子程序间通过寄存器 r0~r3 来传递参数。这时,寄存器 r0~r3 可以记作 a0~a3。 被调用的子程序在返回前无需恢复寄存器 r0~r3 的内容。 (2) 在子程序中,使用寄存器 r4~rll 来保存局部变量。这时,寄存器 r4~r11 可以记 作 v1~v8。如果在子程序中使用到了寄存器 v1~v8 中的某些寄存器,子程序进入时必须保

跑马灯控制电路设计

HDL数字系统课程设计报告书 目录 一、设计目的 (2) 二、设计思路 (2) 三、设计过程 (2) 3.1、系统方案论证 (2) 3.2、程序代码设计 (3) 四、系统调试与结果 (5) 五、主要元器件与设备 (9) 六、课程设计体会与建议 (9) 6.1、设计体会 (9) 6.2、设计建议 (10) 七、参考文献 (10)

论文摘要: 共16个LED灯,连成一排,实现几种灯的组合显示。通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。此外,本实验也可通过EDA软件Quartus6.0和modelSim SE 6.0实现。 关键词: HDL 数字系统跑马灯设计

一、设计目的 1、熟悉Verilog HDL程序编程。 2、掌握Altera公司ACEXTM系列EPEK30QC208-2芯片的使用方法。 3、熟悉Quartus II 6.0和Modesim SE 6.0软件的使用。 4、了解16个数码管的显示原理和方法。 二、设计思路 1、编写跑马灯设计程序。 2、定义LED灯引脚分配。 3、设计状态控制。 4、下载到EPEK30QC208-2芯片上显示。 三、设计过程 3.1、系统方案论证 16位LED跑马灯设计框图如图1所示:

图1 LED跑马灯设计框图 3.2、程序代码设计 module paomadeng(rst,clk,sel,led); //端口定义,参数列表 input rst,clk; //rst复位,clk为4Hz的时钟信号input[1:0] sel; //sel 状态选择端口 output[15:0] led; //led 跑马灯显示 reg[15:0] led; reg[15:0] led_r,led_r1; reg cnt1,dir; //cnt1控制状态2 led灯的亮次数 reg[3:0] cnt2; //cnt2控制状态2 led灯的亮次数 reg[2:0] cnt3; //cnt3控制状态2 led灯的亮次数 always@(posedge clk) begin if(rst) begin cnt1<=0;cnt2<=0;cnt3<=0;dir<=0;end else case(sel) // LED按奇数,偶数依次显示 2'b00: begin led_r=16'b0101010101010101; if(cnt1==0)led<=led_r; else led<=led_r<<1; cnt1<=cnt1+1; end // LED顺序依次显示,顺序依次熄灭 2'b01: begin if(!dir) begin if(cnt2==0) begin led_r=16'b0000000000000001;led<=led_r;end else begin led<=(led<<1)+led_r;end if(cnt2==15) begin dir<=~dir;end

div实现向左右无缝滚动图片效果(跑马灯)

div实现向左右无缝滚动图片效果(跑马灯) div+css学习笔记22(用div实现向左无缝滚动图片效果)JavaScript实现走马灯效果[无缝连接、循环滚动] 无缝跑马灯效果以下代码在IE6、Firefox+Win2k环境下测试通过网页走马灯连续循环滚动废话少说,代码贴出来:<div id="imgmarquee" style="OVERFLOW: hidden; WIDTH: 580px; align: left; background-color:#0099CC;"> <div style="width:1200px"><!--id="marquePic1"里面的宽度一定要大于id="imgmarquee"的宽度才能看到效果 width:600px;>WIDTH: 580px;大的div宽度要为span的两倍才可以,不然会换行width:1200px zdz的作品,流风的作品--> <span id="marquePic1" style="width:600px; background-color:#990033;"> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> <img src="../images/dialog/4.gif" /> </span>

跑马灯电路图及元件知识

跑马灯实验说明书 一、实验目的 1.熟悉NE555定时器,计数器CD4017的逻辑特性。 2.熟悉NE555构成多谐振荡器原理。 3.掌握简单电子电路的安装、焊接、调试技术。 二、实验要求 1.知道NE555、CD4017的管脚排列顺序。 2.利用NE555构成多谐振荡器。 3.知道电阻的主要参数及其标注方法。(见实验指导书116页)。 4. 知道电容器的主要作用。(见实验指导书122页)。 5. 了解有关焊接的知识。 三、实验器材 电路板1块。 电容:1μF (1个)。 集成芯片:NE555(1个)、CD4017(1个)。 电阻:22K?、550K?(可调)、330?各一个 二极管:IN4148(8个)、发光二极管(6个)。 秒表(自行提供) 电池:5V 四、电路的安装 1.检查集成芯片NE555,CD4017的安装位置有无错误. 2.检查电解电容的极性有无错误; 3. 检查二极管IN4148及发光二极管的安装方向有无错误; 4. 检查各个电阻的安装是否有误。 5. 检查有无虚焊。 五、电路的调试 1. 电路焊接好后,先将电路板正负端接到直流电压5V及地线处,观察发光二极管是否变亮。 2. 适当改变电位器阻值,观察其对CD4017循环周期(发功二极管依次循环一周)的影响。 3. 利用秒表记录CD4017一个合适循环周期的时间。(分别测量电阻最大时、最小时、合适时的周期) 六、实验报告要求 1. 写出实验目的、要求。 2. 注明说用元器件 3. 画出实验电路图。 4. 根据实验操作过程,自己拟写实验步骤。 5. 根据公式计算出多谐振荡器的频率。与记录的循环周期有何联系。 附录 1.跑马灯电路图 2.CD4017的相关资料 3.NE555的相关资料(见实验指导书220页)

跑马灯控制(定时中断应用)

江 苏 省 盐 城 技 师 学 院 教 案 首 页 编 号:YJQD-0507-07 版 本:B/O 流水号: 编 制: 审 核: 批 准: 课题: 跑马灯控制(定时中断应用) 教学目的、要求:1、学习使用定时/计数器实现精确延时。 2、 熟练掌握移位指令。 教学重点、难点:熟练掌握移位指令 授课方法: 启发式教学、任务驱动型教学、实验教学 教学参考及教具(含电教设备):高职高专规划教材《单片机原理与控制技术》、多 媒体设备、DVCC 系列单片机微机仿真实验系统实验指导书。 授课执行情况及分析: 板书或教学设计: 授课日期 班 级 课题:跑马灯控制(定时中断应用) 一、知识回顾 二、任务引入 三、任务分析 四、相关知识 (1)电路功能 (2)硬件电路 (3)控制程序 五、任务实施 六、任务拓展 七、巡回指导 八、结束指导

课题 跑马灯控制(定时中断应用) 课前准备: 1、DVCC 系列单片机微机仿真实验系统 2、安装有DVCC 实验系统软件的计算机、多媒体投影仪 3、万用表及常用电工工具 组织教学: 检查学生人数、标志牌佩戴、工作服及工具准备情况。 知识回顾(复习提问): 定时中断的设定方法是什么? 任务引入: 开始时P1.0亮,延时0.2S 后,P1.1亮,如此左移7次后至P1.7再延时0.2S 右移至P1.6,如此右移7次至P1.0,循环显示。 任务分析: 一、硬件图 EA/VP 31X119X218 RESET 9RD 17WR 16INT012INT113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P20 21 P2122P2223P2324P2425P2526P2627P2728PSEN 29ALE/P 30TXD 11RXD 10Vss 20 Vcc 40U2 8051 R1200R2200R3200R4200R5200R6200R7200R8200 D1LED D2LED D3LED D4LED D5LED D6LED D7LED D8 LED T1XTAL C1 30p F C2 30p F a b f c g d e VCC 1234567a b c d e f g 8 dp dp 9 DS1 AMBERCA a b f c g d e VCC 1234567a b c d e f g 8dp dp 9 DS2 AMBERCA A B C D R910K R1010K R1110K R1210K +5V +5V R0110k +5V + C01 22u F 二、功能说明

古代灯笼的制作方法

古代灯笼的制作方法 在古代的时候,人们用灯笼是为了照明;在现代生活中,灯笼是比较常见的物品,它不仅是为了照明,更多的是在节日里用来装饰和欣赏的传统工艺品,或者是在店里用灯笼来吸引顾客,获得客源等。灯笼有很多种类型,有吊灯、纱灯、宫灯、走马灯等。虽然很容易买得到灯笼,但是我们可以尝试自己做灯笼,那么古代灯笼要怎么做呢?制作古代灯笼的方法是什么? 第一种制作方法 材料:卡纸、介纸刀、直尺、铅笔、双面胶、线绳 步骤:1、准备一张长方形的红色卡纸我是用一张过期的旧月历卡。 2、将卡纸两边各留出0.5~1.0cm,按等分划好若干条平行线,然后用介纸刀切开。 3、卷一下使卡纸呈弧形。 4、对贴,边角料剪成细条作穗,再贴上线绳。 5、啊!漂亮的小灯笼就做好了。 第二种制作方法 第一步,制作骨架。纸灯笼比较简单的形状是立方体或圆柱体,最好选用可以弯曲的竹枝或竹皮搭成框架,衔接的地方用细线绑紧。如果不好找,细长条状的硬纸板和烧烤用的竹签也可以,结实程度和柔韧性会有所欠缺,但摆在室内也是很不错的装潢。 第二步,制作灯身。在文房四宝店买几张白色、红色的普通宣纸或者洒金宣纸,裁成符合灯笼骨架的长宽,就可以自行设计图案了。书法、绘画、剪纸,都可以在小小的灯笼上一展风采。糊好后,还可以用窄条的`仿绫纸上下镶边,看起来更为雅致,很像古式的宫灯。如果不太擅长书画,有一个简单的办法可供参考。用一张薄纸在字帖上描下想要的字样,再将这张薄纸和深红色宣纸重叠在一起,用单刃刀片将字迹挖掉。拿掉薄纸,红宣纸上就出现了镂空的字迹。用白色宣纸做灯身,红宣纸糊在里面,烛光或灯光从镂空处映射出来,效果相当漂亮。 第三步,制作光源。如果放在室内,只需要在灯笼里点一根普通蜡烛;如果想提着出去,最好用灯泡和电池做一个简单电路。也许看起来有一点点粗糙,但在元宵佳节里点上一盏自己手制的灯笼,定会别有一番风味吧! 第三种制作方法 1、准备好相应的材料和工具。卫生纸筒、皱纹纸、胶水、棉线、剪刀、打孔器等。

简易LED跑马灯设计

简易LED跑马灯设计 摘要 随着微电子技术的飞速发展,集成电路的集成度和性能提高、价格下降,特别是单片微处理器的出现,对现代社会的进步起到了巨大推进作用,也从各个方面改变了人们的生活,比如目前国内国外都大量使用的霓虹灯广告牌、交通显示信号灯,以及各种车辆、家用电器等普遍使用的指示信号灯,给人们的生活提供了便利。本文针对广告牌等显示器件中起到至关重要的作用的数字控制信号LED灯显示(跑马灯)进行一个简易的模拟设计。此实验项目中,使用8255芯片实现16位数码管显示,制作成一个简易的跑马灯,其中要运用386[pc]汇编语言编写相应代码,并且要针对8255的特点设计实验连线图,是对本科接口课程中学习到的软件以及硬件知识的一个综合运用,通过实际上机实验操作,编译设计不同的跑马灯效果。通过最后的综合实验调试,成功实现了简易跑马灯的效果显示,这是对跑马灯实际应用的一次有益接触,也是对该应用原理的一次初步实践。 【关键词】接口跑马灯 8255芯片 LED灯汇编语言

1 LED的应用领域以及LED跑马灯的研究意义 ?1.1 LED的应用领域 LED的应用领域非常广,包括通讯、消费性电子、汽车、照明、信号灯等,可大体区分为背光源、电子设备与照明、显示屏、汽车交通等五大领域。 ◆汽车交通:以汽车內装使用包括了仪表板、音箱等指示灯,及汽车外部(第三刹车灯、左右尾灯、方向灯等),另外还包括交通标志灯。 ◆背光源:主要是手机背光光源方面,是SMD型产品应用的最大市场。 ◆显示屏: LED显示屏作为一种新兴的显示媒体,随着大规模集成电路和计算机技术的高速发展,得到了飞速发展,它与传统的显示媒体―多彩霓虹灯、象素管电视墙、四色磁翻板相比较,以其亮度高、动态影像显示效果好、故障低、能耗少、使用寿命长、显示内容多样、显示方式丰富、性能价格比高等优势,已广泛应用于各行各业。 ◆电子设备与照明:LED以其功耗低,体积小,寿命长的特点,已成为各种电子设备指示灯的首选,目前几乎所有的电子设备都有LED的身影。 ?1.2 LED跑马灯的研究意义 本文主要是对LED显示屏应用的一个初步研究,跑马灯是对LED显示屏功能的基础模拟,通过对跑马灯形式的LED显示进行实验模拟,可以对LED的大型应用奠定基础,是将来完成完整LED效果显示设计的初级实践。LED跑马灯设计正好和本科接口基础设计课程相衔接,运用到了课程中学习到的相关接口知识,是对该课程知识的一个综合运用,有助于加强基础知识的掌握,提升个人实践能力。 2 相关实验芯片及原理的介绍 本实验需要器材:8255芯片一块,PC机一台,TD-PIT/TD-PIT-B实验箱一台。 ?2.1 LED灯管的发光以及驱动原理 图2.1 发光二极管结构图 LED灯管LED(Light Emitting Diode,图2.1),发光二极管,是一种固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极,使整个晶片被环氧树脂封装起来。半导体晶片由三部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边主要是电子,中间通常是1至5个周期的量子阱。当电流通过导线作用于这个晶片的时候,电子和空穴就会被推向量子阱,在量子阱内电子跟空穴复合,然后就会以光子的形式发出能量,这就是LED发光的原理。而光的波长也就是光的颜色,是由形成P-N结的材料决定的。 在接口试验中通常会用到单色LED灯作信号显示,给LED灯输入数字信号,LED灯会根据信号的不同而显示亮或者灭。

跑马灯的设计与仿真1(电子科大版)

光电信息学院 数字电路课程设计报告 课程名称:数字设计原理与实践 设计题目:跑马灯设计及仿真 专业:光电工程与光通信 学号:2905103032 2905402009 姓名:生艳梅周高翔

选课号:60 71 2011年06月08日 光电学院数字电路课程设计 任务名称:跑马灯的设计及仿真 专业:光电工程与光通信姓名:生艳梅周高翔 指导教师:周建华 (1)课程设计应达到的目的、任务及要求: 控制8 个LED 进行花式显示,设计4 种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。4 种模式循环切换,复位键(rst)控制系统的运行与停止。跑马灯的状态转换图如图所示:

(2)设计思路 要达到跑马灯的灯依次亮的效果。我们只需要将跑马灯的8 盏灯用8 位二进制数表示,1 代表灯亮,0 代表灯灭,然后设计若干个状态,让clk 在上升沿处带动状态的改变。按照要求,初始状态是全灭,即00000000 然后由左往右依次亮,既:1000000 →11000000→11100000 →………依次类推。 (3)程序如下: module ledwalk(clk,rst,led); input clk,rst; output [7:0] led; reg [7:0] led; reg [24:0] count;

reg [4:0] state; wire clk; always @ (posedge clk,negedge rst) begin if(!rst) count<=0; else count=count+1; end always @ (posedge clk) begin :AA case(state) 5'b00000: led=8'b00000000; 5'b00001: led=8'b10000000; 5'b00010: led=8'b11000000; 5'b00011: led=8'b11100000; 5'b00100: led=8'b11110000; 5'b00101: led=8'b11111000; 5'b00110: led=8'b11111100; 5'b00111: led=8'b11111110; 5'b01000: led=8'b11111111; 5'b01001: led=8'b00000000;

跑马灯设计

2323 学校代码:14057 学号: 芜湖信息技术职业学院毕业论文(设计) 论文题目: 学科专业: 作者姓名: 指导教师: 完成时间:

选题背景 最近几年来,在电子技术高度发展的今天,单片机迅猛发展,多功能按键已成为当代电子信息中不可或缺的重要部分,尤其是应用在当代的潮流物品上面,例如:MP3,MP4,手机,数字电视等高科技电子电器上面.正是由于它的出现和应用给人们的学习、工作、娱乐带来极大方便。另外,多功能按键中还采用了石英晶体振荡电路,从而使它具有响应快、灵敏度高、性能稳定诸多优点。它还可以利用一个按键实现单击、双击、连击的功能,真正做到了一键多功能,多效应,这也正是它的命名由来. 近年来中国电子工业持续高速增长,带动电子元器件产业的强劲发展。中国已经成 为扬声器、铝电解电容器、显像管、印制电路板、半导体分立器件等电子元器件的世界 生产基地。 本设计介绍一种实用的单片机多功能按键的设计与制作,采用基于MS-51的单片机 AT89S51芯片,并且利用该芯片及其他一些电器元件设计了本实验跑马灯电路板。 毕业论文进度安排: 2011 02 定题 2011 03 写提纲、初稿 2011 04 修改论文 2011 06 定稿 指导教师意见: 指导教师签名:年月日 毕业论文(设计)写作提纲

一、论文题目 跑马灯设计 二、论题观点来源: 电子信息产业具有产业规模大,技术进步快,产业关联度强等特点,是经济增长的重要的引擎,更是我国国民经济重要的战略性产业。09年以来我国电子信息产业一路保持恢复性增长的态势,目前已进入平稳增长的阶段。近年来中国电子工业持续高速增长,带动电子元器件产业的强劲发展。中国已经成为扬声器、铝电解电容器、显像管、印制电路板、半导体分立器件等电子元器件的世界生产基地。 三、基本观点: 该论文首先主要是简介电子行业在我国的发展趋势以及发展前景。其次是介绍一些关于芯片AT89S51的功能等硬件方面的知识。之后介绍软件方面的设计思想。最后进行电路板的调试问题解决和设计总结。 四、论文结构: 1、总体设计 2、硬件设计 3、软件设计 4、设计总结 毕业论文(设计)工作中期检查表

2020年(交通运输)单片机整套实验及程序(交通灯_跑马灯等)

(交通运输)单片机整套实验及程序(交通灯_跑马灯 等)

实验1 跑马灯实验 一、实验目的 ●初步学会Proteus ISIS和uVision2单片机集成开发环境的使用; ●初步掌握采用汇编语言与C语言开发单片机系统的程序结构; ●掌握80C51单片机通用I/O口的使用; ●掌握单片机内部定时/计数器的使用及编程方法以及中断处理程序的编写方法。 二、实验设备及器件 ●硬件:PC机,HNIST-1型单片机实验系统 ●软件:Proteus ISIS单片机仿真环境,uVision2单片机集成开发环境 三、实验内容 ●编写一段程序,采用P1口作为控制端口,使与P1口相接的四个发光二极管(D1、D2、D3、D4)按照一定的方式点亮。如点亮方式为:先点亮D1,延时一段时间,再顺序点亮D2……D4,然后又是D4……D1,同时只能有一个灯亮;然后每隔一段时间一次使相邻两个灯亮,三个灯亮,四个灯亮,最后闪烁三次,接着循环变化。 ●基于Proteus ISIS仿真环境完成上述功能的仿真。 ●基于uVision2单片机集成开发环境与硬件平台完成程序的脱机运行。 四、实验原理图 图3.1 跑马灯实验电路原理图 电路原理图如上图3.1所示,AT89S52的P1.0~P1.3控制4个发光二极管,发光二极管按照一定次序发光,相邻发光二极管的发光时间间隔可以通过定时器控制,

还可以通过软件延时实现。 五、软件流程图与参考程序 ●主程序流程图如下: ●参考程序

#include #include #define uchar unsigned char #define uint unsigned int uchar aa,num,speed,flag; uchar code table[]={0x0e,0x0d,0x0b,0x07}; uchar code table1[]={0x0a,0x05,0x09,0x06}; uchar codetable2[]={0x0c,0x09,0x03,0x08,0x01,0x0e,0x0c,0x08,0x00}; void delay(uint z)//延时函数 { uint x; uchar y; for(x=z;x>0;x--) for(y=200;y>0;y--); } void init()//条件初始化函数 { flag=0; speed=10;//控制跑马灯流水速度 TMOD=0x01;//中断方式 TH0=(65535-50000)/256; TL0=(65536-50000)%256;//初值

PLC课程设计霓虹灯跑马灯.

烟台南山学院 PLC课程设计 题目霓虹灯广告屏装置PLC设计与调试 姓名:李海港 所在学院:烟台南山学院 所学专业:电气工程及其自动化 班级:电气工程1102班 学号: 指导教师:姜倩倩 小组成员:邱胜强马帅李海洋

课程设计任务书 一、基本情况 学时:1周学分:1学分适应班级:10电气技术 二、课程设计的意义、性质、目标、要求 1.意义 课程设计是PLC课程教学的最后一个环节,是对学生进行全面的系统的训练。进行课程设计可以让学生把学过的比较零碎的知识系统化,真正的能够把学过的知识落到实处,能够开发简单的系统,也进一步激发了学生再深一步学习的热情,因此课程设计是必不少的,是非常必要的。 2.性质 课程设计是提高学生PLC技术应用能力以及文字总结能力的综合训练环节,是配合PLC课程内容掌握、应用得的专门性实践类课程。 3.目标 通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学内容做一定的扩充。 4.要求 (1)课程设计的基本要求 PLC课程设计的主要内容包括:理论设计与撰写设计报告等。其中理论设计又包括选择总体方案,硬件系统设计、软件系统设计;硬件设计包括单元电路,选择元器件及计算参数等;软件设计包括模块化层次结构图,程序流程图。程序设计是课程设计的关键环节,通过进一步完善程序设计,使之达到课题所要求的指标。课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 (2)课程设计的教学要求 PLC课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间(一周)累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及

数字电子技术课程设计 跑马灯

徐州工程学院 课程设计报告 ( 2012 -- 2013 年度第一学期) 名称:数字电子技术课程设计 题目:跑马灯 院系: 班级: 学号: 学生姓名: 指导教师: 设计周数: 1 成绩: 日期:2013年01月15日

目录 一、课程设计的目与要求 1.1设计目的 (3) 1.2设计要求 (3) 1.3主要技术指标 (3) 二、设计正文 2.1.设计分析 (3) 2.2.设计思路 (3) 2.3芯片资料 (3) 2.4.设计流程图 (8) 2.5 设计原理图 (8) 2.6.仿真图原理图 (9) 2.7.仿真现象 (9) 2.8时序逻辑 (10) 2.9PCB图 (11) 2.10实物图 (11) 2.11实物演示 (12) 三、设计结论与心得 (13) 四、参考文献 (13) 五、附图 (14)

一、课程设计的目与要求: 1.1、设计目的; 1.熟悉和掌握数字电路元件的特性和使用方法 2.深入理解数字电子技术基础的学习 3.锻炼自我思考,设计电路的能力 4.锻炼动手,实践能力 1.2、设计要求: 1.根据技术指标要求确定电路形式,分析工作原理,计算元件参数 2.列出所用元器件清单并购买 3.安装调试所设计的电路,使之达到设计要求 4.记录实验结果 5.撰写设计报告 1.3、主要技术指标: 1.实现8灯循环点亮 2.间隔时间可调 3.广告灯的样式自定 二、设计正文: 2.1.设计分析: 我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20对8个LED进行控制,产生循环控制的效果。形成一个流水似的广告灯,并且通过一个74ls161对输入脉冲进行分频,实现循环速度可调。 2.2.设计思路: 首先我们利用二进制计数器74ls161对外界输入脉冲进行计数,并且通过输出端输出对应的二进制数码,再利用74ls138译码器对74ls161输出的二进制数进行译码,由此产生八位LED流水的效果,计数时需要对计数只进行限定,利用74ls20与74ls161利用反馈归零法限定计数只为8. 2.3芯片资料: (1)集成二进制计数器74LS161 74LS161是4位二进制同步加法计数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、制加法计数功能外,还具有异步清零、同步并行置数、保持等功能。

跑马灯实验报告

山西大学数字电子技术基于硬件设计的跑马灯电路 系别:电力工程系 班级:电本1254班 姓名:所谓伊人 学号: 12322454**

一、实验目的 1. 熟悉NE555定时器,计数器CD4017的逻辑特性。 2. 熟悉NE555构成多谐振荡器原理。 3. 设计跑马灯电路并利用Multisim软件仿真电路。 二、实验要求 1. 知道NE555、CD4017的管脚排列顺序。 2. 利用NE555构成多谐振荡器。 3. 知道电阻的主要参数及其标注方法。(见实验指导书116页)。 4. 知道电容器的主要作用。(见实验指导书122页)。 5. 了解有关焊接的知识。 三、实验器材 电路板1块。电容:1μF(1个)。集成芯片:NE555(1个)、CD4017(1个)。电阻:22K?、1K?、500?各一个。二极管:IN4148(8个)、发光二极管(10个)。(自行提供)电池:5V 四、电路的安装 1.555用来定时,用它产生某种方波,相当于有的时钟信号 2.4017是个十进制计数器,按照时钟信号从10个口依次输出 1. 检查集成芯片NE555,CD4017的安装位置有无错误. 2. 检查电解电容的极性有无错误; 3. 检查二极管IN4148及发光二极管的安装方向有无错误; 4. 检查各个电阻的安装是否有误。 5. 检查有无虚焊。 五、电路的调试 1. 电路焊接好后,先将电路板正负端接到直流电压5V及地线处,观察发光二极管是否变亮。 2. 适当改变电位器阻值,观察其对CD4017

循环周期(发功二极管依次循环一周)的影响。 3. 利用秒表记录CD4017一个合适循环周期的时间。(分别测量电阻最大时、最小时、合适时的周期) 附录 1. 跑马灯电路图

跑马灯控制电路设计

跑马灯控制电路设计 The Standardization Office was revised on the afternoon of December 13, 2020

HDL数字系统课程设计报告书 目录 一、设计目的.......................... 错误!未定义书签。 二、设计思路.......................... 错误!未定义书签。 三、设计过程.......................... 错误!未定义书签。 、系统方案论证.................... 错误!未定义书签。 、程序代码设计.................... 错误!未定义书签。 四、系统调试与结果.................... 错误!未定义书签。 五、主要元器件与设备.................. 错误!未定义书签。 六、课程设计体会与建议................ 错误!未定义书签。 、设计体会........................ 错误!未定义书签。 、设计建议........................ 错误!未定义书签。 七、参考文献............................. 错误!未定义书签。

论文摘要: 共16个LED灯,连成一排,实现几种灯的组合显示。通过这次对跑马灯控制电路的设计与制作,了解了设计电路的程序,也了解了关于跑马灯工作的基本原理与设计理念,首先要将一个程序分成几个模块,分别调试每一个模块,当每个模块均能正常工作时,其次再将其都组合在一起再次调试与仿真,最后将程序下载到Altera公司ACEXTM系列EPEK30QC208-2芯片,观察程序是否能控制硬件的实现。此外,本实验也可通过EDA软件和modelSim SE 实现。 关键词: HDL 数字系统跑马灯设计

相关主题
文本预览
相关文档 最新文档