当前位置:文档之家› 丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册
丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册

嘉兴学院丙烯酸甲酯工艺仿真操作手册

北京东方仿真软件技术有限公司

2013年5月

精心整理,用心做精品0

目录

第一章生产原理及工艺特点 (2)

第二章生产流程说明 (4)

第三章设备一览表 (8)

第四章主要操作条件及工艺指标 (9)

第五章操作规程 (11)

第六章操作界面 (15)

精心整理,用心做精品1

第一章生产原理及工艺特点

在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。

1.1 酯化反应原理

丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下:

CH

2=CHCOOH+CH

3

OH <==>CH

2

=CHCOOCH

3

+H

2

O

这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。

1.2 丙烯酸与甲醇的酯化反应

(1)酯化反应器的主反应

酯化反应器的主反应的化学方程式如下:

H+(IER)*

CH

2=CHCOOH+CH

3

OH <==> CH

2

=CHCOOCH

3

+H

2

O

AA MEOH MA *IER指离子交换树脂

(2)酯化反应器的副反应

CH

2=CHCOOH十2CH

3

OH———> (CH

3

O)CH

2

CH

2

COOCH

3

+H

2

O

MPM:(3-甲氧基丙酸甲酯)

H+(IER)*

2CH

2=CHCOOH十CH

3

OH ———> CH2=CHCOOC

2

H

4

COOCH

3

+H

2

O

D-M(3-丙烯酰氧基丙酸甲酯/

二聚丙烯酸甲酯)

精心整理,用心做精品2

H+(1ER)

CH

2=CHCOOH+CH

3

OH———>HOC

2

H

4

COOCH

3

HOPM(3-羟基丙酸甲酯) H+(1ER)

CH

2=CHCOOH+CH

3

OH ——>CH

3

OC

2

H

4

COOH

MPA(3-甲氧基丙酸) H+(1ER)

2CH

2=CHCOOH———>CH

2

=CHCOOC

2

H

4

COOH

D-AA(3·丙烯酰氧基丙酸/

二聚丙烯酸)

其他副产物是由于原料中的杂质的反应而形成的。典型的丙烯酸中的杂质的反

应如下:

CH

3COOH+R-OH——>CH

3

COOR十H

2

O

C

2H

5

COOH+R-OH——>C

2

H

5

COOR十H

2

O

丙烯酸甲酯的酯化反应在固定床反应器内进行,它是一个可逆反应,本工艺采用酸过量使反应向正方向进行。

反应在如下情况下进行:

温度:75℃(MA)

醇/酸摩尔比:0.75(MA)

由于甲酯易于通过蒸馏的方法从丙烯酸中分离出来,从经济性角度,醇的转化率被设在60%-70%的中等程度。未反应的丙烯酸从精制部分被再次循环回反应器后转化为酯。

用于甲酯单元的离子交换树脂的恶化因素有:金属离子的玷污、焦油性物质的覆盖、氧化、不可撤回的溶涨等。因此,如果催化剂有意被长期使用,这些因素应引起注意。被金属铁离子玷污导致的不可撤回的溶涨应特别注意。

精心整理,用心做精品3

1.3 丙烯酸回收

丙烯酸回收是利用丙烯酸分馏塔精馏的原理,轻的甲酯、甲醇和水从塔顶蒸出,重的丙烯酸从塔底排出来。

1.4 醇萃取及回收

醇萃取塔利用醇易溶于水的物性,用水将甲醇从主物流中萃取出来,同时萃取液夹带了一些甲酯,再经过醇回收塔,经过精馏,大部分水从塔底排出,甲醇和甲酯从塔顶蒸出,返回反应器循环使用。

1.5 醇拔头

醇拔头塔为精馏塔,利用精馏的原理,将主物流中少部分的醇从塔顶蒸出,含有甲酯和少部分重组分的物流从塔底排出,并进一步分离。

1.6 酯精制

酯精制塔为精馏塔,利用精馏的原理,将主物流从塔顶蒸出,塔底部分重组分返回丙烯酸分馏塔重新回收。

第二章生产流程说明

2.1丙烯酸甲酯生产总流程

精心整理,用心做精品4

2.2 丙烯酸甲酯生产流程框图

最新丙烯酸甲酯的生产工艺资料

5000t/a 丙烯酸甲酯的生产工艺组织与实施 1:丙烯酸甲酯的生产工艺路线选择 丙烯酸甲酯,别名败脂酸甲酯,分子式 C4H6O2或CH2CHCOOCH3,熔 点 -75℃ ,沸点:80.0℃,微溶于水。用于作为有机合成中间体,也是合成高分子聚合物的单体,用于橡胶、医药、皮革、造纸、粘合剂等。 无色液体。有辛辣气味。水中溶解度在20℃时为6G/100ml ,40℃时5G/100ml 、水在丙烯酸甲酯中溶解度为1.8ml/100G 。溶于乙醇和乙醚。在贮存过程中易聚合,光、热和过氧化物能加速其聚合作用。纯粹的单体在低于10℃时不聚合。通常加入对苯二酚单甲醚0.1%作阻聚剂。相对密度(d204)0.9561。熔点-76.5℃。沸点70℃(81.06kPA)。折光率(n20D)1.401。闪点(开杯)-4℃。易燃。中等毒,半数致死量(大鼠,经口)0.3G/kG 。有催泪性。对呼吸系统和皮肤有刺激性。 丙烯酸甲酯(Methyl Acrylate ,简写为MA)是重要的精细化工原料之一,主要用作有机合成中间体及合成高分子单体,丙烯酸甲酯可以和各种硬单体(如:甲基丙烯酸甲酯、苯乙烯、丙烯腈、醋酸乙烯等)及官能性单体[如: (甲基)丙烯酸羟乙酯、羟丙酯、缩水甘油酯、 (甲基)烯酰胺]及其衍生物等进行交换、共聚、接枝等,做成上千种丙烯酸类树脂产品(主要是乳液型、溶剂型及水溶型),广泛用作涂料、胶粘剂、睛纶纤维改性、塑料改性、纤维及织物加工、皮革加工、造纸以及丙烯酸类橡胶等许多方面。 现有生产方式 乙炔法(雷珀(Reppe)法) 是先将乙炔溶解于四氢呋喃溶剂中,用溴化镍为催化剂(作为羰基镍的来源),溴化铜为助催化剂,反应条件为:8~10 MPa ,200~225℃,丙烯酸的产率为90% (对乙炔)或85% (对CO),BASF 和Dow-Badische 相继于1960年进行工业生产,两者略有不同之处,前者用酸作催化剂进行甲醇酯化,后者用Dowex 。50强酸性阳离子交换树脂为催化剂。此法的特点是不用高压处理乙炔,用镍盐作催化剂,而不用有毒的羰基镍。 丙烯睛水解 这是丙烯腈水解,酯化后制取丙烯酯化的方法。 424242222242SO H NH COOR CH CH SO H CONH CH CH O H CN CH CH ROH SO H +?=??→???=??→?+? 反应分为两步,由利用丙烯腈水解的酰胺化反应与利用醇的酯化反应组成。在第一步反应中,是在70~100度将丙烯腈添加到硫酸水溶液中以合成丙烯酰胺硫酸盐,然后加适量的水和醇进行酯化。生成的酯用来蒸馏分离掉副产物硫酸氢铵后再送到精制工序。 这种方法所制得的丙烯酸酯的收率系随醇的种类有所不同,使用甲醇的时候,丙烯酸甲酯的收率按丙烯腈计高于85%,以甲醇计高于75%。至于用丁醇以上的高级醇,在经济上还存在问题。 这种方法的缺点是副产品是丙烯酸甲酯的二倍。(重量)即以硫酸氢铵为主要成分的废液,而处理这种废液有很多困难。因为不能将其扔掉,只能用于硫酸回收,或用来制造硫酸铵。另一个缺点是丙烯腈直接合成高级酯有一定的困难。因此这种方法不能用于大规模工厂的生产。 烯酮法

丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册

嘉兴学院丙烯酸甲酯工艺仿真操作手册 北京东方仿真软件技术有限公司 2013年5月 精心整理,用心做精品0

目录 第一章生产原理及工艺特点 (2) 第二章生产流程说明 (4) 第三章设备一览表 (8) 第四章主要操作条件及工艺指标 (9) 第五章操作规程 (11) 第六章操作界面 (15) 精心整理,用心做精品1

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH 2=CHCOOH+CH 3 OH <==>CH 2 =CHCOOCH 3 +H 2 O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH 2=CHCOOH+CH 3 OH <==> CH 2 =CHCOOCH 3 +H 2 O AA MEOH MA *IER指离子交换树脂 (2)酯化反应器的副反应 CH 2=CHCOOH十2CH 3 OH———> (CH 3 O)CH 2 CH 2 COOCH 3 +H 2 O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH 2=CHCOOH十CH 3 OH ———> CH2=CHCOOC 2 H 4 COOCH 3 +H 2 O D-M(3-丙烯酰氧基丙酸甲酯/ 二聚丙烯酸甲酯) 精心整理,用心做精品2

FANUC机器人仿真软件操作手册

FANUC机器人仿真软件操作手册

2008年10月第1版ROBOGUIDE 使用手册(弧焊部分基础篇)

目录 目录 (1) 第一章概述 (2) 1.1. 软件安装 (2) 1.2. 软件注册 (3) 1.3. 新建Workcell的步骤 (4) 1.3.1. 新建 (4) 1.3.2. 添加附加轴的设置 (11) 1.4. 添加焊枪,TCP设置。 (16) 1.5. Workcell的存储目录 (20) 1.6.鼠标操作 (22) 第二章创建变位机 (25) 3.1.利用自建数模创建 (25) 3.1.1.快速简易方法 (25) 3.1.2.导入外部模型方法 (42) 3.2.利用模型库创建 (54) 3.2.1.导入默认配置的模型库变位机 (54) 3.2.2.手动装配模型库变位机 (58) 第三章创建机器人行走轴 (66) 3.1. 行走轴-利用模型库 (66) 3.2. 行走轴-自建数模 (75) 第四章变位机协调功能 (82) 4.1. 单轴变位机协调功能设置 (82) 4.2. 单轴变位机协调功能示例 (96) 第五章添加其他外围设备 (98) 第六章仿真录像的制作 (102)

第一章概述 1.1. 软件安装 本教程中所用软件版本号为V6.407269 正确安装ROBOGUIDE ,先安装安装盘里的SimPRO,选择需要的虚拟机器人的软件版本。安装完SimPRO后再安装WeldPro。安装完,会要求注册;若未注册,有30天时间试用。

如果需要用到变位机协调功能,还需要安装MultiRobot Arc Package。 1.2. 软件注册 注册方法:打开WeldPRO程序,点击Help / Register WeldPRO 弹出如下窗口,

丙烯酸甲酯工艺说明

15000吨/年丙烯酸甲酯生产工艺 第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH 2=CHCOOH+CH 3 OH <==>CH 2 =CHCOOCH 3 +H 2 O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH 2=CHCOOH+CH 3 OH <==> CH 2 =CHCOOCH 3 +H 2 O AA MEOH MA *IER指离子交换树脂(2)酯化反应器的副反应 CH 2=CHCOOH十2CH 3 OH———> (CH 3 O)CH 2 CH 2 COOCH 3 +H 2 O MPM:(3-甲氧基丙酸甲酯) H+(IER)* 2CH 2=CHCOOH十CH 3 OH ———> CH2=CHCOOC 2 H 4 COOCH 3 +H 2 O D-M(3-丙烯酰氧基丙酸甲酯/二聚丙烯酸甲酯)

H+(1ER) CH 2=CHCOOH+CH 3 OH———>HOC 2 H 4 COOCH 3 HOPM(3-羟基丙酸甲酯) H+(1ER) CH 2=CHCOOH+CH 3 OH ——>CH 3 OC 2 H 4 COOH MPA(3-甲氧基丙酸) H+(1ER) 2CH 2=CHCOOH———>CH 2 =CHCOOC 2 H 4 COOH D-AA(3·丙烯酰氧基丙酸/二聚丙烯酸) 其他副产物是由于原料中的杂质的反应而形成的。典型的丙烯酸中的杂质的反应如下: CH 3COOH+R-OH——>CH 3 COOR十H 2 O C 2H 5 COOH+R-OH——>C 2 H 5 COOR十H 2 O 丙烯酸甲酯的酯化反应在固定床反应器内进行,它是一个可逆反应,本工艺采用酸过量使反应向正方向进行。 反应在如下情况下进行: 温度:75℃(MA) 醇/酸摩尔比:0.75(MA) 由于甲酯易于通过蒸馏的方法从丙烯酸中分离出来,从经济性角度,醇的转化率被设在60%-70%的中等程度。未反应的丙烯酸从精制部分被再次循环回反应器后转化为酯。 用于甲酯单元的离子交换树脂的恶化因素有:金属离子的玷污、焦油性物质的覆盖、氧化、不可撤回的溶涨等。因此,如果催化剂有意被长期使用,这些因素应引起注意。被金属铁离子玷污导致的不可撤回的溶涨应特别注意。 1.3 丙烯酸回收 丙烯酸回收是利用丙烯酸分馏塔精馏的原理,轻的甲酯、甲醇和水从塔

仿真手册

仪器分析仿真 气相色谱仿真系统培训软件 操作手册 设备型号:岛津GC-14 系统版本:V1.2 北京东方仿真软件技术有限公司 二零一八年

目录 一、导读................................................................................................ - 3 - 二、软件安装......................................................................................... - 3 - 三、初步认识......................................................................................... - 3 - 四、仿真操作......................................................................................... - 5 - 五、相关说明....................................................................................... - 17 -

一、导读 本仿真系统是根据岛津GC-14C气相分析仪器及岛津Clarity工作站软件进行开发的仪器分析系统。本操作手册主要包括系统的基本操作知识以及模块的简单描述,其中工作站的使用不在本手册范围,请参加相关手册。具体的实验要求参见教师的相关教案。 二、软件安装 参见《仿真软件安装》。 三、初步认识 1.软件启动 在桌面点击仿真软件快捷方式:气相分析软件,双击后可以运行软件。 2.运行方式选择 启动软件后,将会出现形如下图的界面:

甲基丙烯酸甲酯催化剂简述

两段氧化法生产甲基丙烯酸甲酯工艺所需催化剂的简述 两段氧化法生产甲基丙烯酸甲酯的工艺,其反应部分共分为两个反应阶段。第一段反应为氧化反应,汽化的叔丁醇(或异丁烯)和空气、水蒸气按一定的比例通过装有催化剂的固定床反应器,在催化剂的催化氧化作用下快速反应,生产甲基丙烯醛及一部分副产物,反应生产物经冷却脱水后作为二段反应的原料。 二段反应为氧化酯化反应,在釜式反应器(三相浆态床)内,甲基丙烯醛、甲醇和氧气按一定的比例进行反应,在催化剂的作用下氧化酯化生成甲基丙烯酸甲酯,产物进入精馏工段进行精制提纯。 一段催化剂形状为实心柱状,其生产过程主要分为溶解、搅拌加热、喷雾干燥、压片成型等工序。生产的不同批次的催化剂均要进行充分的单管实验,以验证催化剂各方面的性能,从单管实验结果来看,本公司生产的催化剂大部分均能达到理想的效果,产品性能比较稳定。通过单管实验数据及生产运行的结果来看,热媒温度控制在330℃是较合适的,此时,床层热点温度在360摄氏度(热点温度最高不超过390℃)左右。投入生产以来,催化剂各方面的性能均表现良好。 二段催化剂为黑色粉末状固体,载体过三百目筛,附着钯金属后 灼烧而成。通过微反实验验证催化剂性能(同时要加入两种助催化剂),选择性及转化率均能达到要求,但投入生产后,化工的放大作用影响了催化剂的性能。可能是因为进料方式、气体分布情况、催化剂分布情况等因素,催化剂选择性及转化率没有达到微反实验的效果。同时,反应器的形状、大小、气体分布装置、三相分离设备均一定程度的影

响了生产的顺利进行,同时也限制了催化剂性能的充分体现。 从催化剂投入使用以来,分析生产数据,一段反应的催化剂性能优于二段反应的催化剂。但一段反应的反应器性能及操作均有类似产品可供参考,而二段反应的反应器及分离设施均在摸索阶段,所以二段催化剂没有表现出良好的性能,除了本身的原因之外,反应器的性能也一定程度上影响了其能力的发挥。 此外,一二段催化剂本身均有需要提高的地方,例如其反应表面积及机械强度等。

丙烯酸甲酯工艺仿真软件操作手册

丙烯酸甲酯工艺仿真软件操作手册 1 2020年4月19日

嘉兴学院丙烯酸甲酯工艺仿真 操作手册 北京东方仿真软件技术有限公司 5月

目录 第一章生产原理及工艺特点................................. 错误!未定义书签。第二章生产流程说明............................................. 错误!未定义书签。第三章设备一览表................................................. 错误!未定义书签。第四章主要操作条件及工艺指标 ......................... 错误!未定义书签。第五章操作规程 .................................................... 错误!未定义书签。第六章操作界面 ................................................. 错误!未定义书签。 1 2020年4月19日

第一章生产原理及工艺特点 在该单元中丙烯酸与甲醇反应,生成丙烯酸甲酯,磺酸型离子交换树脂被用作催化剂。 1.1 酯化反应原理 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 这是一个平衡反应,为使反应有向有利于产品生成的方向进行,采用一些方法,一种方法是用比反应量过量的酸或醇,另一种方法是从反应系统中移除产物。 1.2 丙烯酸与甲醇的酯化反应 (1)酯化反应器的主反应 酯化反应器的主反应的化学方程式如下: H+(IER)* CH2=CHCOOH+CH3OH <==> CH2=CHCOOCH3+H2O AA MEOH MA *IER指离子交换树脂 2 2020年4月19日

毕业设计丙烯酸甲酯

安徽职业技术学院毕业论文 论文题目:丙烯酸甲酯 所属系部:化工系 专业:应用化工技术 姓名:陈小帅 班级:应化1022班 学号: 2010272252 指导老师:汪武 完成日期: 2013-3-24

丙烯酸甲酯制备工艺流程

摘要 作为有机合成中间体,也是合成高分子聚合物的单体,用于橡胶、医药、皮革、造纸、粘合剂等。丙烯酸甲酯拥有很强的功用。 工艺描述:丙烯酸甲酯是由粗丙烯酸和甲醇在作为酸性酯化催化剂的硫酸存在下直接生产。反应热约为-25.1KJ/mol,即酯化反应只是轻微的放热反应,反应物开始反应时不会出现剧烈的反应。相反,会形成一个平衡的混合物,其中除了需要的产物,还存在相当数量的原料。为了加速这个典型的平衡反应,得到需要的产物,通过蒸馏不断地从反应系统中移去两个反应产物,水和丙烯酸甲酯,蒸馏塔塔顶物中含有没反应的甲醇被回收,没反应的丙烯酸甲酯留在酯化反应器中。酯化反应在均态液相下进行,既不需要有机溶剂,也不需要搅拌。通过蒸馏分离出高纯度丙烯酸甲酯。 将甲醇(来自甲醇回收塔C5200和罐区)、硫酸(来自罐区)、成品塔C5500底部馏分和(来自罐区)加化学处理剂联氨改性的粗丙烯酸送入酯化反应器R5010中。来自甲醇回收塔5200的新鲜及循环甲醇以气态进入R5010;然后,塔顶物(丙烯酸甲酯,水,轻组分)被送到抽提塔(C5100),在C5100,用工艺水洗去甲醇,被洗过的丙烯酸甲酯从底部去抽提塔分离器V5110,底部物流送醇回收塔C5200,在C5200中轻组分从顶部蒸出,回收的醇送回C5200。基本没有有机物的水冷却后用作抽提塔C5100的循环水,多余的通过废水罐送废水处理厂。分离器V5110中的粗酯被送往初馏塔(C5300),也作为酯化塔的回流。少量含有丙烯酸甲酯的初馏塔塔顶低沸物在冷凝器E5330中冷凝并收集在相分离器V5340中。有机相的大部分在塔上部温度控制下作为回流返回初馏塔C5300,一小部分有机相通过容器V5460送初馏物蒸馏塔C5400,以得到合格产品。为进一步精制,C5300塔底物送成品塔C5500,这个塔的塔顶物是最终产品,送到罐区的检验罐,5500塔底物送回酯化部分。 关键词:丙烯酸甲酯;工艺节能描述;工艺化学反应;工艺操作流程;节能技术的应用。

2016年全国职业院校技能大赛赛题

2016年全国职业院校技能大赛化工生产技术赛项赛题竞赛试题由化工生产仿真操作、精馏操作和化工专业知识考核三个部分试题组成。具体考核时间及占总分比重分别为:化工理论考核90分钟,占总分比重的15%,化工仿真操作考核120分钟,占总分比重的40%,精馏现场操作考核90分钟,占总分比重的45%。根据本赛项竞赛项目的特点,对化工生产仿真操作和精馏操作赛题公开;对化工专业知识考核命题范围(见表1)和考核题库公开,题库采用由中国化工教育协会与化工工业职业技能鉴定中心组织编写的《化工总控工职业技能鉴定应知试题集》,此书由化学工业出版社2010年10月公开出版,书号为:978-7-122-09483-4。 表1 2016年全国职业院校技能大赛高职组化工生产技术赛项理论试题命题范围命题范围知识点 选择题 (含多选题) 是非题职业道德职业道德及职业守则 3 2 基础知识化学基本知识 5 4 计量知识 1 1 化工基础数据 1 1 分析与检验知识 1 2 单元操作流体输送 4 2 传热 3 1 非均相物系分离 2 2 压缩、制冷 2 1 干燥 1 1

命题范围知识点 (含多选题) 是非题蒸馏精馏 4 2 结晶 1 1 吸收 3 2 蒸发 1 1 萃取 2 1 反应 4 2 化工工艺 化工生产基础知识、化工生产操作知识、 典型化工生产工艺 5 3 催化剂催化剂相关基础知识 1 1 化工识图化工工艺图纸制图、识图知识 2 1 化工机械与设备典型化工设备种类、结构 4 2 材质的选择 设备维护保养及安全使用 化工仪表与自动化化工仪表种类、应用与使用维护 5 2 化工控制仪表及控制规律 化工自动控制系统 安全与环境保护“三废”与环保 3 3 工业生产中常见的安全技术和措施 消防 化工物料危险性、灭火原理、灭火器性 能及使用 1 1

机电控制仿真软件使用说明

宇龙机电控制仿真软件 上海宇龙软件工程有限公司开发的《宇龙机电控制仿真软件》是用于机电一体化及相关专业仿真实训软件,也是一个可以进行二次开发的工具平台,更是一个机电一体化专业的积件系统。 此软件为“可编程序控制系统设计师”中、高技师国家职业资格证书山东省培训及鉴定软件。 一、机电控制仿真软件构成 《宇龙机电控制仿真软件》本体由一个元器件库、一个控制对象库和一个仿真工作区构成。 1.元器件库 元器件库包含了大量的电路元器件、液压元器件和气动元器件。每个元器件都带有其参数特性。元器件库是一个开放性的库,用户可以使用本软件的工具添加同类不同参数特性、不同外形的元器件。 电路元器件 电路元器件库中包含了一下各种类型的元器件:通用继电器、中间继电器、电流继电器、电压继电器、时间继电器、热继电器、接触器、按钮开关、万能转换开关、熔断器、液位传

感器、电磁阀、限位开关、固态继电器、刀开关、PLC、各种电源、控制变压器、桥式整流器、电磁吸盘、交通灯及各种灯具、数码管、各种电动机等。 PLC是其中一类重要电路元器件。目前,已经涵盖了欧姆龙、西门子和三菱系列PLC。本系统中提供了以上三种系列PLC部件的仿真程序编辑器。 PLC仿真编辑器 液压元器件 液压元器件包含了各种动力元器件、控制元器件、执行元器件和各种接头。比如:动力元器件有各种液压泵;控制元器件有各种电磁式换向阀、液控式换向阀、手动换向阀、单向阀、调速阀、减压阀、压力继电器、溢流阀、节流阀、液压缸、行程阀等;执行元器件有各种液压缸和液压马达。

液压元器件图 气动元器件 气动元器件与液压元器件类似。 2.控制系统搭建平台 《宇龙机电控制仿真软件》的仿真工作区是一个控制系统的搭建平台。用户可以从元器件库中选择各种合适的元器件放入仿真工作区。然后,选择合适的导线或者管路将这些元器件搭建成一个控制系统。搭建完的控制可以在仿真工作区实现仿真运行。控制系统搭建平台有以下四项特点: 随意搭建控制系统 控制系统可以随意搭建,不论元器件选型是否正确、不论链路是否正确,控制系统都会实现运行结果。对有对的结果、错有错的结果。 实时检测 对于所搭建的控制系统,可以实时运行,并且可以使用各种仿真仪器仪表进行实时检测。这是由于本软件对所搭建的控制系统根据各元器件参数特性、导线参数特性和管路连接关系进行实时计算。并且,根据计算结果实现可视化结果。 实时检测 PLC自由编程 本软件中提供了PLC元器件仿真程序编辑器。在这些编辑器中,用户可以自由进行PLC 程序的编制。PLC灌入用户所编制的PLC程序后,PLC将对这些PLC程序进行指令解析并且

项目一:年产5000吨丙烯酸甲酯的生产技术

项目1:500吨\年丙烯酸甲酯的生产技术 任务点01 丙烯酸甲酯生产工艺路线选择――――生产现状、生产方法分析比较(原料来源,催化剂性能,安全、环保分析,经济性分析); 丙烯睛水解乙酸甲酯法原料来源石油石油 安全、环保分析;经济性分析这种方法所制的的丙烯酸甲酯 的收率系随醇的种类而有所不 同,使用甲醇时,丙烯酸甲酯的 收率按丙烯晴计高于85%,以甲 醇计高于75%。 此法在技术上是可行的,其 发展取决于催化剂和分离方 法的改进。 缺点至于用丁醇以上的高级醇时,在 经济上海存在着问题。这种方法 的缺点是副产物高于丙烯酸甲 酯2倍(重量)以上的副产物, 即以硫酸氢铵为主要成分的废 酸,而处理这种废酸有很多困 难。因为不能将其抛弃,而只能 用于硫酸回收,或用来制造硫酸 铵。另一缺点是从丙烯晴直接合 成高级酯类有一定的困难。因此 不能用这种方法来建设大规模 的工厂。虽然此法在技术上是可行的,但有大量未转化的原料必须回收。 总结选择:丙烯氧化法 随着丙烯酸酯需要量的增加及丙烯价格的下降,近来很多厂家都企图用价格较低而又适合于大型化的空气氧化合成丙烯酸的方法来实现工业化(流程如图所示)。 以丙烯作原料的丙烯酸合成法有以下两种方法:一种是先将丙烯氧化成丙烯醛,再由丙烯醛氧化成丙烯酸的二步法,另一种是丙烯酸一步空气氧化直接合成丙烯酸的一步法。第一种方法中,在丙烯酸氧化上又可分为气相法和液相法,可是从收率及连续化难易方面考虑,几乎都愿意采用气相接触氧化。至于一步法中除了丙烯酸以外,实际上也同时产生丙烯醛,因此很难将一步法和二步法的第一步反应加以明确区分。 二步法的第一步反应是合成丙烯醛,其中以壳牌开发公司所采用的方法最早引起工业上的注意,这种方法以Cu2O作催化剂,反应系统中氧气浓度保证很低,转化率低到1%左右。此后,酿酒(Distillers)公司发明了Se—CUO催化剂,曾当作丙烯晴新和成的第一步反应催化剂而引起注意。以后自标准油公司(俄亥俄)[The Standard Oil(Ohio)]发表Mo—Bi系催化剂以来,接着出现了很多高转化率及高收率 的催化剂。反应条件根据催化剂而有所不同,一般温度为400~500℃,压力接近于常压,氧/丙烯(克分子)为2~5,接触时间是0.5~4秒。使用最多的是Mo系催化剂,也有不少是在Mo—Bi、Mo—As、Mo—Co、Sb—Sn、Sb—V、Sb—U等体系中加入其他多价金属。有不少专利着重对加在Cu上的助催化剂进行了研究。 第二步反应与第一步反应相比,可以在稍低的温度下进行氧化,即在350~400℃

FX仿真软件使用手册

PLC是“Programmable Logic Controller(可编程序逻辑控制器)”的英文缩写,是采用微电脑技术制造的自动控制设备。它以顺序控制为主,回路调节为辅,能完成逻辑判断、定时、记忆和算术运算等功能。与传统的继电器控制相比,PLC控制具有控制速度快、可靠性高、灵活性强、硬件接线简单、改变工艺方便等优点。 PLC的基本构成见图1-1,简要说明如下: 1. 中央处理器CPU 起运算控制作用,指挥协调整机运行。 2. 存储器ROM RAM 存放程序和数据 (1) 系统程序存储器ROM 存放生产厂家写入的系统程序,用户不可更改。 (2) 随机读写存储器RAM 存放随机变化的数据。 (3) 用户程序存储器EPROM或E2 PROM 存放用户编写的用户程序。 3. 通信接口与计算机、编程器等设备通信,实现程序读写、监控、联网等功能。 4. 电源利用开关电源将AC220V转变成DC5V供给芯片;DC12V供给输出继电器; DC24V供给输入端传感器。另有锂电池做为备份电源。 5. 输入接口IN 将外部开关或传感器的信号传递给PLC。 6. 输出接口OUT 将PLC的控制信号输出到接触器、电磁阀线圈等外部执行部件。作为一般技术人员,对于上述构成,主要关心的是输入输出接口。输入输出接口的详细情况,见第9页§3.2的有关介绍和图2-3 PLC输入输出接口电路示意图。

随着PLC技术的发展,其功能越来越多,集成度越来越高,网络功能越来越强,PLC与PC 机联网形成的PLC及其网络技术广泛地应用到工业自动化控制之中,PLC集三电与一体,具有良好的控制精度和高可靠性,使得PLC成为现代工业自动化的支柱。 PLC的生产厂家和型号、种类繁多,不同型号自成体系,有不同的程序语言和使用方法,但是编程指导思想和模式是相同的,其编程和调试步骤如下: 1. 设计I/O接线图 根据现场输入条件和程序运行结果等生产工艺要求,设计PLC的外围元件接线图,作为现场接线的依据,也作为PLC程序设计的重要依据。(I/O接线图参见9页图2-3) 2. 编制PLC的梯形图和指令语句表 根据生产工艺要求在计算机上利用专用编程软件编制PLC的梯形图,并转换成指令语句表(FX系列PLC编程常用指令见13页表2-2)。 3. 程序写出与联机调试 用编程电缆连接计算机和PLC主机,执行“写出”操作,将指令语句表写出到PLC主机。PLC 输入端连接信号开关,输出端连接执行部件,暂不连接主回路负载,进行联机调。 PLC的控制方式是由继电器控制方式演化而来,由PLC内部的微电子电路构成的模拟线圈和触点取代了继电器的线圈和触点,用PLC 的程序指令取代继电器控制的连接导线,将各个元件按照一定的逻辑关系连接起来,PLC控制的梯形图在许多方面可以看作是继电器控制的电路图。 可以理解为,PLC内部有大量的由软件程序构成的继电器、计时器和计数器等软元件,用软件程序按照一定的规则将它们连接起来,取代继电控制电路中的控制回路。 本文第一章介绍利用PLC计算机仿真软件,学习PLC用户程序设计,并且仿真试运行、调试程序。由于仿真软件不需要真正的PLC主机,就可以在计算机上仿真运行调试,所以它既是学习PLC程序设计的得力助手,也给实际工作中调试程序带来很大方便。本章的编程仿真练习题,请读者认真完成,会对掌握PLC应用大有帮助。 本文第二章介绍PLC实际应用的编程软件的使用方法。 §2 PLC计算机仿真软件 FX系列PLC可用“FX-TRN-BEG-C”仿真软件,进行仿真运行。该软件既能够编制梯形图程序,也能够将梯形图程序转换成指令语句表程序,模拟写出到PLC主机,并模拟仿真PLC控制现场机械设备运行。 使用“FX-TRN-BEG-C”仿真软件,须将显示器象素调整为1024*768,如果显示器象素较低,则无法运行该软件。 §2.1 仿真软件界面和使用方法介绍 启动“FX-TRN-BEG-C”仿真软件,进入仿真软件首页。软件的A-1、A-2两个章节,介绍PLC 的基础知识,此处从略,请读者自行学习。从A-3开始,以后的章节可以进行编程和仿真培训练习,界面显示如图2-1所示。

Machining数控仿真软件简明使用手册

Machining数控仿真软件简明使用手册视频教程下载:软件基本操作: 机床视图右键菜单介绍: A.XOZ平面:改变机床视图视角 B.YOZ平面:改变机床视图视角 C.XOY平面:改变机床视图视角 D.隐藏/显示床身: 在机床视图中点右键,选择“隐藏床身”或者“显示床身” E.快速定位: 让主轴移动到工件中心位置。 F.开关机舱门 3D机床模型操作: A.鼠标左键旋转 B.鼠标滚轮放大或缩小 C.按下鼠标中键平移 提示窗口: 软件菜单介绍 A.加工时间 估算加工程序所需时间

B.文件 1.导入:导入一个加工程序,但必须在E DIT模式下打开或者新建了一个程序的情况下才能导入2?保存工件:保存已加工工件 3.读入工件:打开保存的工件 C.设置 1.显示刀具轨迹 选中后会在自动加工中显示加工轨迹。 2.显示床身 选中该选项将显示床身。 3.机床声音 选中该选项将启用声音效果。 4.模型阴影 选中该选项将启用阴影效果,但是一些比较老的显卡运行速度会下降。如果速度慢请取消该选项。 D.视图 视图:当面板视图被关闭后,用该菜单将面板重新打开。 双屏显示:分别在两个显示器中显示面板和机床模型。 E.切换面板 各系统间进行切换操作。 F.设置工件 选择工件类型,工件类型为:长方体和圆柱体。 设置工件的显示精度,精度有3级: 1.性能:工件精度较低 2.平衡:工件精度中等 3.质量:工件精度较高 请根据显卡能力选择适当的精度,较高的精度资源占用高。 G.检查更新 检查是否有新版本,该功能需要联网。 H.帮助文档

2.刀具选择 1.新建刀具: 添加刀具:按“Add按钮添加新的刀具,然后在自定义刀具对话框中输入直径和长度2.编辑刀具: 双击“ Tool Select "中列表中的条目进行刀具参数编辑。 3.删除刀具: 按“ Delete ”按钮删除所选刀具。 4 .选择刀具: 鼠标移动到右边刀具栏,出现"select tool" 对话框,在里面选择所需的刀具。再点击“ Tool Number”下拉菜单,选择所需的刀号。点击“ OK确认。 将刀具移动到刀具库上,单击鼠标左键,刀具装入。将鼠标移动至刀位可以查看刀号。 3.数控面板操作 FANUC 0iM 操作控制面板急停按钮 电源开 电源关 循环启动 循环停止 自动模式编辑模式手动输入模式步进模式 手轮模式回参考点手动模式

丙烯酸甲酯

项目二丙烯酸甲酯生产工艺组织与实施 丙烯酸甲酯,含不饱和双键,在均聚和共聚反应中被广泛应用,生产丙烯酸树脂类产品。 其中75%以上的丙烯酸甲酯用于生产聚丙烯腈,另外还用于合成抗菌消炎药物,其共聚物和聚合物还用作纸张增稠剂、纸品胶豁剂、水处理剂、油田化学品中的降凝剂、降粘剂和陶瓷的助剂等。 任务点01 丙烯酸甲酯生产工艺路线选择 选择丙烯直接氧化法 以丙烯为原料, 两步氧化生成丙烯酸(第一步氧化为丙烯醛, 再氧化成丙烯酸),再与甲醇相酯化生成丙烯酸甲酯, 酯化产物经脱水分馏得成品。 选择理由: 随着丙烯酸酯需要量的增加及丙烯价格的下降,近来很多厂家都用价格低又适合于大型化的空气氧化合成丙烯酸的方法来实现工业化。 反应易于控制 主要生产步骤: ●丙烯两步氧化生成丙烯酸 ●丙烯酸与甲醇酯化反应生成丙烯酸甲酯

两步法反应条件:丙烯首先在0.IMPa,310-480℃下氧化生成丙烯醛,后者在300-400℃下继续氧化生成丙烯酸。该法丙烯酸收率一般在80%以上 两段氧化反应为强放热反应,工业上大多采用列管式反应器,并用适当的传热介质及时有效的移走反应热。 任务点02 生产工艺条件影响因素分析 酯化反应原理 (1)主反应 丙烯酸与醇的酯化反应是一种生产有机酯的反应。其反应方程式如下: CH2=CHCOOH+CH3OH <==>CH2=CHCOOCH3+H2O 可逆,放热 (2)副反应

CH2=CHCOOH十2CH3OH———> (CH3O)CH2CH2COOCH3+H2O MPM:(3-甲氧基丙酸甲酯) 2CH2=CHCOOH十CH3OH ———> CH2=CHCOOC2H4COOCH3+H2O D-M(3-丙烯酰氧基丙酸甲酯/二聚丙烯酸甲酯) CH3COOH+R-OH——>CH3COOR十H2O C2H5COOH+R-OH——>C2H5COOR十H2O

全国职业院校技能大赛高职组化工生产技术赛项赛题

2019年全国职业院校技能大赛 高职组化工生产技术赛项赛题 本赛项的竞赛试题由化工生产仿真操作、精馏操作和化工专业知识考核三个部分试题组成。具体考核时间及占总分比重分别为:化工理论考核60分钟,占总分比重的15%,化工仿真操作考核120分钟,占总分比重的40%,精馏现场操作考核90分钟,占总分比重的45%。根据本赛项竞赛项目的特点,对化工生产仿真操作和精馏操作赛题公开;对化工专业知识考核命题范围(见表1)和考核题库公开,具体公开如下。 (一)化工仿真操作 本模块采用公开赛题的形式,具体考核赛题如下。 1.考核题目 丙烯酸甲酯生产工艺仿真操作 2.考核内容 1)冷态开车(含排除干扰);2)正常停车;3)事故处理(屏蔽事故名称,由选手根据现象判断并排除事故);4)稳态生产(通过教师站随机下发扰动,选手判断并解除)。5)随机提问回答(冷态开车时段内)。具体题型见表1。 表1 化工仿真操作题(样题) 编号题目用时 1 丙烯酸甲酯生产装置全流程冷态开车(含排除干扰)不限定 2 丙烯酸甲酯生产装置全流程稳定生产20min 3 丙烯酸甲酯生产装置全流程正常停车不限定 4 故障1:原料供应不足不限定 5 故障2:T110塔压增大不限定 6 故障3:P110A泵故障不限定 7 故障4:再沸器E141蒸汽压力变大不限定

8 随机提问回答0 时间总计120 3.考核说明 (1)采用北京东方仿真技术公司开发的丙烯酸甲酯生产工艺操作软件,DCS风格采用东方仿真公司开发的“通用2010版DCS”,考核时采用200倍时标。 (2)稳定生产用20分钟,期间随机触发15个扰动,要求选手在规定时间进行处理和恢复正常运行,无论选手处理正确与否,扰动定时消失,电脑随即记录成绩。 (3)冷态开车操作过程中,会随机出现15个提问对话框,需选手作出回答。无论选手回答与否,对话框将定时消失,电脑随即记录成绩;此外,还会随机出现5-10个开车干扰项,需及时排除,否则将扣除相应的分值。 4. 丙烯酸甲酯事故库 表2 丙烯酸甲酯事故库 序号事故名称序号事故名称 事故1 停电事故12 FV141阀漏 事故2 停蒸汽事故13 E112冷却水压力低 事故3 原料中断事故14 再沸器E111蒸汽压力变大 事故4 T110塔压增大事故15 T110塔顶回流流量变小 事故5 原料供应不足事故16 R101AA进料流量过大 事故6 P110A泵故障事故17 R101压力控制阀PV101阀卡事故7 再沸器E141蒸汽压力变大事故18 R101蒸汽压力变大 事故8 再沸器E151积水事故19 E114回流流量过大 事故9 LV110阀卡事故20 E114进料阀FV110阀卡

甲基丙烯酸甲酯生产工艺及技术经济比较

甲基丙烯酸甲酯生产工艺及技术经济比较 摘要从技术性和经济性角度评述了甲基丙烯酸甲酯的生产工艺, 包括丙酮氰醇(ACH) 法、异丁烯/叔丁醇法、乙烯法和异丁烷氧化法, 认为异丁烯直接氧化工艺具有原料来源广泛、收率高、环境污染小的特点。 关键词甲基丙烯酸甲酯, 生产工艺, 技术经济比较 甲基丙烯酸甲酯(MMA)是一种重要的有机化工原料, 可在光热或催化剂存在下自聚或与其他单体共聚生成甲基丙烯酸甲酯树脂和塑料, 如聚甲基丙烯酸甲酯(PMMA)、MMA -苯乙烯(MS)树脂、MMA -丁二烯-苯乙烯(MBS)树脂等。聚合产品具有透明度高、耐候性好、光学性能优良等特点, 广泛用作广告牌、照明材料、建筑材料、汽车零件等。近来, 这些聚合产品在IT 行业相关领域如液晶显示屏光导板、DVD 光盘等的需求也快速增长。在物理性质上, MMA 具有低毒性, 且可以回收, 因而是有利于环保的材料。 据统计, 2002 年全球MMA 生产能力为2 477 kt/a , 其中北美765 kt/a ,占30 .9 %;南美29 kt/a , 占1 .1 %;东欧50 kt/a , 占2 .0 %;西欧705 kt/a ,占28 .5 %;日本535 kt/a , 占21 .6 %;不包括日本的亚洲其他地区393 kt/a , 占15 .9 %[1] 。同年全球MMA消费量共1970 kt ,其中北美占35 %,欧洲占27 %,日 本占19 %, 亚洲其他地区占15 %, 世界其他地区占4 %。预计至2006 年全球MMA 年均需求增长率为3 %~ 3.5 %,其中亚洲增长强劲, 为4 %, 北美为3 .1 %, 欧 洲为2 .4 %[2] 。2002年我国MMA生产能力约120 kt/a ,实际产量约90kt。同年中 国MMA 消费量约150 kt ,其中65 %用于有机玻璃的生产, 12 %用于塑料化工助剂, 11 %用于表面涂料, 12 %用于其他领域。预计未来5 年中国MMA 发展的主要市场 仍是有机玻璃、水性涂料和聚氯乙烯改性剂等[3] 。 1 传统MMA 生产工艺及其改进 丙酮氰醇(ACH)法是MMA 生产的传统工艺。1982 年日本开发了以异丁烯为原料的直接氧化法工艺以来, 已开发出多种生产工艺, 其中有的已实现工业化, 有的则尚在开发改进之中。MMA 主要合成路线如图1 所示[4] 。 目前在工业上,MMA 主要有5 种生产工艺。由于采取不同的原料,合成MMA 的催化反应收率也有高有低。各工艺装置的规模效益也不一样, 任何一项工艺没有绝对的优势。全球MMA 生产能力中80 %采用ACH 工艺。在MMA 三大生产地区, 北

自动化实验仿真系统使用手册

A TS-1.0 —自动化基础实验仿真系统 用户使用手册 北京东方仿真控制技术有限公司,版权所有,2000_2003 自动化实验仿真教学系统(ATS)是北京东方仿真控制技术有限公司在1999年推出的一种实验类仿真教学产品。该系统适用于自动化专业及与自动化专业相关的一些专业仿真实验教学,其被控装置为“三水槽微型液位实验装置”和“串联压力罐实验装置”。

自动化实验仿真教学系统(ATS )同样是以现代化的计算机软硬件技术为基础,以深入了解自动化基础实验过程、设备,控制系统及其各种操作为基础,通过开发出对象的一阶和二阶过程的动态特性数学模型,然后通过计算机动态实时模拟,并产生和真实教学实验一样的操作结果。从而达到让学生在计算机上模拟真实现场操作,进行实验,并得出和实际操作过程相吻合实验结果的目的。 一、 ATS 软件的运行环境要求: 1. 硬件环境要求 PC486/586以上微机 硬盘可用剩余空间大于100M 内存不小于16M 打印机一台(建议安装一台网络打印机,用来打印实验趋势曲线图) 2.软件环境要求 操作系统为Microsoft Windows95(中文版)或Windows98(中文版) 二、ATS 结构及功能简介 2.1系统结构 系统结构示意图如下图所示。系统由PC 机操作站和化工自动化基础实验数学模型两部分组成。 系统包含如下七个实验项目(可扩为11个实验): 实验一: 对象特性的实验测试 实验二: 调节器参数对调节质量的影响 实验三: 简单调节系统的投运和参数整定 实验四: 串级调节系统实验 实验五: 化工自动化基础综合实验 实验六: 比值调节系统实验 实验七: 前馈调节系统实验 2.2系统功能: 实验装置模拟操作功能是将现场真实实验装置、设备及流程图形化、模拟化,学生可以在实验装置上进行模拟操作,得到与真实实验操作相似的实验结果及现象。 系统复位回零功能将你目前的所有操作状态恢复到初始状态(即回零)。方便学生对某一实验反复进行实验操作、观察实验现象。 实验在线指导功能是利用实验的在线指导书在学生操作实验需要时随时打开,指导学生进行实验操作,减少了教师的负担。并在指导书中详细介绍了该实验的实验目的、实验原理、实验内容、实验装置、实验步骤、注意事项、要求。其界面及其操作和WIN98的在线帮助相同。 化工自动化 基础实验数学模型

丙烯酸甲酯的生产工艺

丙烯酸甲酯的生产工艺-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

5000t/a 丙烯酸甲酯的生产工艺组织与实施 1:丙烯酸甲酯的生产工艺路线选择 丙烯酸甲酯,别名败脂酸甲酯,分子式 C4H6O2或CH2CHCOOCH3,熔 点 -75℃ ,沸点:℃,微溶于水。用于作为有机合成中间体,也是合成高分子聚合物的单体,用于橡胶、医药、皮革、造纸、粘合剂等。 无色液体。有辛辣气味。水中溶解度在20℃时为6G/100ml ,40℃时 5G/100ml 、水在丙烯酸甲酯中溶解度为100G 。溶于乙醇和乙醚。在贮存过程中易聚合,光、热和过氧化物能加速其聚合作用。纯粹的单体在低于10℃时不聚合。通常加入对苯二酚单甲醚%作阻聚剂。相对密度(d204)。熔点℃。沸点70℃。折光率(n20D)。闪点(开杯)-4℃。易燃。中等毒,半数致死量(大鼠,经口)kG 。有催泪性。对呼吸系统和皮肤有刺激性。 丙烯酸甲酯(Methyl Acrylate ,简写为MA)是重要的精细化工原料之一,主要用作有机合成中间体及合成高分子单体,丙烯酸甲酯可以和各种硬单体(如:甲基丙烯酸甲酯、苯乙烯、丙烯腈、醋酸乙烯等)及官能性单体[如: (甲基)丙烯酸羟乙酯、羟丙酯、缩水甘油酯、 (甲基)烯酰胺]及其衍生物等进行交换、共聚、接枝等,做成上千种丙烯酸类树脂产品(主要是乳液型、溶剂型及水溶型),广泛用作涂料、胶粘剂、睛纶纤维改性、塑料改性、纤维及织物加工、皮革加工、造纸以及丙烯酸类橡胶等许多方面。 现有生产方式 乙炔法(雷珀(Reppe)法) 是先将乙炔溶解于四氢呋喃溶剂中,用溴化镍为催化剂(作为羰基镍的来源),溴化铜为助催化剂,反应条件为:8~10 MPa ,200~225℃,丙烯酸的产率为90% (对乙炔)或85% (对CO),BASF 和Dow-Badische 相继于1960年进行工业生产,两者略有不同之处,前者用酸作催化剂进行甲醇酯化,后者用Dowex 。50强酸性阳离子交换树脂为催化剂。此法的特点是不用高压处理乙炔,用镍盐作催化剂,而不用有毒的羰基镍。 丙烯睛水解 这是丙烯腈水解,酯化后制取丙烯酯化的方法。 424242222242SO H NH COOR CH CH SO H CONH CH CH O H CN CH CH ROH SO H +?=??→???=??→?+? 反应分为两步,由利用丙烯腈水解的酰胺化反应与利用醇的酯化反应组成。在第一步反应中,是在70~100度将丙烯腈添加到硫酸水溶液中以合成丙烯酰胺硫酸盐,然后加适量的水和醇进行酯化。生成的酯用来蒸馏分离掉副产物硫酸氢铵后再送到精制工序。 这种方法所制得的丙烯酸酯的收率系随醇的种类有所不同,使用甲醇的时候,丙烯酸甲酯的收率按丙烯腈计高于85%,以甲醇计高于75%。至于用丁醇以上的高级醇,在经济上还存在问题。 这种方法的缺点是副产品是丙烯酸甲酯的二倍。(重量)即以硫酸氢铵为主要成分的废液,而处理这种废液有很多困难。因为不能将其扔掉,只能用于硫酸回收,或用来制造硫酸铵。另一个缺点是丙烯腈直接合成高级酯有一定的困难。因此这种方法不能用于大规模工厂的生产。 烯酮法

相关主题
文本预览
相关文档 最新文档