当前位置:文档之家› 基于FPGA的六层电梯控制系统课程设计打印终稿

基于FPGA的六层电梯控制系统课程设计打印终稿

基于FPGA的六层电梯控制系统课程设计打印终稿
基于FPGA的六层电梯控制系统课程设计打印终稿

基于FPGA 的六层电梯控制系统

郑州轻工业学院

电子技术课程设计

题目基于FPGA的

学生姓名

专业班级

学号

指导教师

完成时间

郑州轻工业学院

课程设计任务书

题目基于FPGA 的六层电梯控制系统

专业、班级电子信息工程10-01 学号 541001030136 姓名

主要内容、基本要求、主要参考资料等:

主要内容:

要求学生使用硬件描述语言设计六层电梯控制系统的FPGA源程序,实现如下功能:(1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。(2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯到达有停站请求的楼层,开门指示灯亮,开门后,经一段时延后,电梯门关闭电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (4)电梯运行规则:当处于上升模式时,只响应比所在位置高的请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;高层有下楼请求,则直接升到请求层,进入下降模式。当电梯处于下降模式时则与上升模式相反。

基本要求:

1、掌握FPGA 的程序设计方法。

2、掌握硬件描述语言语法。

3、掌握有限状态机的原理与实现方法。

4、程序设计完成后要求在软件中实现功能仿真。

主要参考资料:

1、王锁萍.电子设计自动化(EDA)教程[M].成都:成都电子科技大学出版社,2006.

2、何立民.EDA应用技术选编[M].北京:北京航空航天大学出版社,2008.

完成期限:2013.6.21—2013.6.25

指导教师签名:

课程负责人签名:

2013年6月18

摘要 ................................................................................................................ I 1概述 (1)

1.1课题背景及意义 (1)

1.2 EDA技术及实现方法概述 (1)

1.2.1 EDA技术简介 (2)

1.2.2 FPGA简介 (3)

1.2.3 VHDL语言介绍 (4)

1.3 状态机简介 (5)

2 系统方案设计 (6)

2.1设计要求 (6)

2.2 总体设计方案 (6)

2.2.1 控制器模块化概述 (6)

2.2.2 电梯上下层运行流程图 (7)

2.2.3电梯运行控制流程图 (8)

2.2.4 电梯控制器设计思路 (9)

2.3 设计的VHDL实现 (10)

2.3.1 控制器实体设计 (10)

2.3.2 控制器结构体设计 (11)

3 器件选型 (11)

3.1 FPGA芯片选型 (11)

3.2 功能模块 (12)

3.2.1 主控器模块 (12)

3.2.2 分频器模块 (13)

3.2.3 译码器模块 (13)

3.2.4 数据选择器模块 (14)

4 程序仿真 (15)

4.1 电梯控制器仿真分析 (15)

4.2 其它模块仿真 (19)

5 结论 (19)

参考文献 (21)

致谢 (22)

附录 (23)

基于FPGA 的六层电梯控制系统

摘要

本设计是基于电子设计自动化(EDA)技术中的甚高速集成电路硬件描述语言(VHDL)语言,运用FPGA所开发的四层电梯控制程序,采用Quartus II软件仿真。运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。在主进程中定义了7个状态,在电梯时钟的触发下,通过当前状态和信号灯信号判定下一状态。信号灯控制进程中,信号灯存储按键请求情况,它的熄灭是由状态机进程中传出的信号来控制。

通过程序调试及运行仿真,结果表明,本程序可以完成电梯运行所在楼层显示、电梯运行方向指示、关门延时设置等。

关键词:电梯控制器 VHDL 状态机 FPGA

1概述

1.1课题背景及意义

随高层楼宇的增加,电梯越来越多的走进了人们的生活,对人们的生活的影响越来越大。为了让电梯更好的服务人们,各种电梯新技术不断地发展起来。随着人们生活水平的不断提高,经济的快速发展和生产生活的需要,城市高层建筑如雨后春笋拔地而起。与此相应,作为一种可以垂直升降运输的工具一电梯也得到迅猛的发展。现在,电梯已完全融入我们的生活、工作及学习中,人们越来越离不开它。因此,它的安全可靠性、迅速准确性、舒适性,对人们来说都是非常重要的。为了确保电梯正常运行、安全使用,一般电梯都有专业的维修管理人员。他们必须对电梯原理、性能、特点、控制、运行要全面认识和掌握,才能做到对电梯的正确使用、管理及维护。根据我国有关部门的规定,电梯作业属于特种作业,其作业人员必须经过专门培训,并经理论考试和实践考核合格后,发给《特种作业操作证》方可上岗操作。同时,对电梯操作人员定期考核,让他们定期参加安全技术学习,扎扎实实地做好电梯维护和保养工作,才能使人们平安长久的使用电梯。

电梯控制系统是一个相当复杂的逻辑控制系统.系统要同时对几百个信号进行接收、处理。由于用户对电梯功能的要求不断提高.其相应控制方式也在不断发生变化。随着EDA技术的快速发展.基于FPGA的微机化控制已广泛应用于电梯电路设计与控制的各个方面。

在经济不断发展,科学技术日新月异的今天,楼的高度已和经济发展同样的速度成长起来。作为建筑的中枢神经,电梯起着不可或缺的作用,电梯作为建筑物内的主要运输工具,像其他的交通工具一样,已经成为我们日常生活的一个不可缺少的组成部分。一个国家的电梯需求总量,主要受其经济增长速度、城市化水平、人口密度及数量、国家产业结构等综合因素的影响。在全球经济持续低迷的情况下,我国国民经济仍然以较高的速度持续增长,城市化水平不断提高。这从客观上导致了我国电梯行业的空前繁荣景象,我国已经成为全球最大的电梯市场。上世纪80年代以来,随着经济建设的持续高速发展,我国电梯需求量越来越大。总趋势是上升的,电梯行业进入了“第三次浪潮”。如此庞大的市场需求为我国电梯行业的发展创造了广阔的舞台!

1.2 EDA技术及实现方法概述

1.2.1 EDA技术简介

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.“自顶向下”的设计方法,10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。

高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。

设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间可编程逻辑芯片与上述掩模ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。

可编程逻辑器件自70年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体

1.2.2 FPGA简介

目前以硬件描述语言(Verilog或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。

FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程

1.2.3 VHDL语言介绍

VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于 1982 年。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL 支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语

言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

采用 VHDL 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用

多种不同的器件结构来实现。

VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人

员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从

门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设

计或者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后

的设计中进行复用。

由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语

言 , 因此它可以使设计成果在设计人员之间方便地进行交流和共享, 从而减

小硬件电路设计的工作量, 缩短开发周期

1.3 状态机简介

关于状态机的一个极度确切的描述是它是一个有向图形,由一组节点和一组相应

的转移函数组成。状态机通过响应一系列事件而“运行”。每个事件都在属于“当前” 节点的转移函数的控制范围内,其中函数的范围是节点的一个子集。函数返回“下一个”(也许是同一个)节点。这些节点中至少有一个必须是终态。当到达终态,状

态机停止。

包含一组状态集(states)、一个起始状态(start state)、一组输入符号

集(alphabet)、一个映射输入符号和当前状态到下一状态的转换函(transition function)的计算模型。当输入符号串,模型随即进入起始状态。它要改变到

新的状态,依赖于转换函数。在有限状态机中,会有有许多变量,例如,状态机

有很多与动作(actions)转换(Mealy机)或状态(摩尔机)关联的动作,多重

起始状态,基于没有输入符号的转换,或者指定符号和状态(非定有限状态机)

的多个转换,指派给接收状态(识别者)的一个或多个状态,等等。

传统应用程序的控制流程基本是顺序的:遵循事先设定的逻辑,从头到尾

地执行。很少有事件能改变标准执行流程;而且这些事件主要涉及异常情况。“命令行实用程序”是这种传统应用程序的典型例子。

有限状态机是一种概念性机器,它能采取某种操作来响应一个外部事件。

具体采取的操作不仅能取决于接收到的事件,还能取决于各个事件的相对发生

顺序。之所以能做到这一点,是因为机器能跟踪一个内部状态,它会在收到事

件后进行更新。为一个事件而响应的行动不仅取决于事件本身,还取决于机器

的内部状态。另外,采取的行动还会决定并更新机器的状态。这样一来,任何逻辑都可建模成一系列事件/状态组合。

状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。详解如下:

①现态:是指当前所处的状态。

②条件:又称为“事件”。当一个条件被满足,将会触发一个动作,或者执行一次状态的迁移。

③动作:条件满足后执行的动作。动作执行完毕后,可以迁移到新的状态,也可以仍旧保持原状态。动作不是必需的,当条件满足后,也可以不执行任何动作,直接迁移到新状态。

④次态:条件满足后要迁往的新状态。“次态”是相对于“现态”而言的,“次态”一旦被激活,就转变成新的“现态”了。

2 系统方案设计

2.1设计要求

(1) 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。

(2) 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。

(3) 电梯到达有停站请求的楼层,开门指示灯亮,开门后,经一段时延后,电梯门关闭电梯继续进行,直至执行完最后一个请求信号后停留在当前层。

(4) 电梯运行规则:当处于上升模式时,只响应比所在位置高的请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;高层有下楼请求,则直接升到请求层,进入下降模式。当电梯处于下降模式时则与上升模式相反。

2.2 总体设计方案

2.2.1 控制器模块化概述

控制器的功能模块如图2.1所示,包括主控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。由于其他模块相对简单很多,所以主控制器是核心部分。

图2-1 总体设计方案2.2.2 电梯上下层运行流程图

电梯上升流程图,如图2-2:

图2-2 电梯上升流程图

电梯下降流程图,如图2.3:

图2-3 电梯下降流程图2.2.3电梯运行控制流程图

如图2.4:

图2-4 电梯运行控制流程图

2.2.4 电梯控制器设计思路

电梯控制器运用状态机的设计方法,思路比较清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置7个状态,分别是“电梯停留在第1层”、“开门”、“关门”、“开门等待4秒”、“上升”、“下降”和“停止状态”。各个状态之间的转换条件可由上面的设

计要求所决定。初始化状态为1楼等待门是关闭的。各状态机之间转换图如图2.5:Doorwait4

图2-5 状态机转换图

2.3 设计的VHDL实现

2.3.1 控制器实体设计

首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求,六层是最高层,不需要有上升请求[3],二三四五层则上升、下降请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电梯时钟输入端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。

其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑‘l’。被响应以后则恢复逻辑‘0’;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。

2.3.2 控制器结构体设计

首先说明一下状态。状态机设置了7个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。

type lift_state is

(stoponl,dooropen,doorclose, doorwait4,up,down,stop):

signal mylift:lift_state:

在结构体中,设计了两个进程互相配合,一个是状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态机进程中传出的clearup和cleardn信号来控制。

在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对信号的判断,决定电梯是上升、下降还是停止。

在信号灯控制进程中,由于使用了专门的频率较高的按键时钟,所以使得按键的灵敏度增大,但是时钟频率不能过高,否则容易使按键过于灵敏。(由于时间限制本设计并未考虑按键消抖)按键后产生的点亮的信号灯(逻辑值为‘1’)用于作为状态机进程中的判断条件,而clearup和cleardn信号为逻辑‘l’使得相应的信号灯熄灭。

3 器件选型

3.1 FPGA芯片选型

主控芯片选用Altera公司ACEX系列FPGA芯片EP1K30TC144-3, Altera公司是20世纪90年代以来发展较快的可编程逻辑器件生产厂家,在激烈的市场竞争中,凭借其雄厚的技术实力,独特的设计构思和功能齐全的芯片系列,跻身于世界最大的器件供应商之列。EP1K30TC144-3芯片属于Altera公司的ACEX 1K系列,集成典型逻辑门数达30000个,工作频率最高可达178.6MHz,最小传输延时为

5.0ns,它将查找表(LUT)与嵌入式阵列块(EAB)结合起来,提供了一种具有高效管芯的低成本结构。该芯片支持1.8到2.5V供电电压,其I/O接口支持多电压的连接:1.8V,2.5V,3.3V,5V仅在外接电阻时可承受,还提供可编程的节能工作模式。在编程工艺上,采用SRAM(静态随机存储器)工艺,可插写上万次。这些特点使该芯片适用于复杂的逻辑功能和存储功能的应用场合。

3.2 功能模块

3.2.1 主控器模块

如图3-1:

图3-1 电梯主控制模块

电梯主控制模块端口说明:

buttonclk按钮时钟信号liftclk电梯时钟信号

reset复位键f1upbutton一楼上楼按钮

f2upbutton二楼上楼按钮f3upbutton 三楼上楼按钮

f4upbutton四楼上楼按钮f5upbutton五楼上楼按钮

f2dnbutton二楼下楼按钮f3dnbutton三楼下楼按钮

f4dnbutton四楼下楼按钮f5dnbutton五楼下楼按钮

f6dnbutton六楼下楼按钮stop1button电梯内部一楼按钮

stop2button电梯内部二楼按钮stop3button电梯内部三楼按钮

stop4button电梯内部四楼按钮stop5button电梯内部五楼按钮

stop6button电梯内部六楼按钮fuplight[6..1]每楼层上升指示灯fdnlight[6..1]每楼层下降指示灯stoplight[6..1]电梯内部楼层指示灯position[2..0]楼层位置显示doorlight 电梯门状态显示

udsig 电梯上升下降显示

3.2.2 分频器模块

如图3-2:

图3-2 分频器模块

模块端口说明:

clk_in 电源输入端 div_out 分频后时钟输出端3.2.3 译码器模块

如图3-3:

图3-3译码器模块

aaa[2..0] 楼层位置输出端 bbb[3..0] 译码输出端

译码器逻辑功能表如表3-1

表3-1 译码器逻辑功能表

3.2.4 数据选择器模块

如图3-4:

图 3-4 数据选择器模块

数据选择器模块端口说明:

input[3..0] 译码器输入端 output[6..0] 数据选择器输出端译码器逻辑功能表如表3-2

表3-2 译码器逻辑功能表

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

电梯的电气控制系统设计与实现

编订:__________________ 审核:__________________ 单位:__________________ 电梯的电气控制系统设计 与实现 Deploy The Objectives, Requirements And Methods To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-7382-100 电梯的电气控制系统设计与实现 使用备注:本文档可用在日常工作场景,通过对目的、要求、方式、方法、进度等进行具体的部署,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 电梯是当前高层建筑不可缺少的垂直方向的交通运输工具,随着计算机及微电子技术的快速发展,电梯控制技术发生了巨大变化,其中PLC控制系统代替传统的继电器控制以及电梯采用了对电动机实现线性调速的调压调频技术,能达到电梯安全平稳运行。 随着人们生活水平的提高及高层建筑的普及,电梯是当前高层建筑不可缺少的垂直方向的交通工具,电梯是集机电一体的复杂系统,涉及机械传动、电气控制和土建等工程领域多种领域专业与一体的综合技术。随着社会的发展及对安全的重视,在设计电梯的时候,应具有高度的安全性。这样就对建筑内的电梯的调速精度、调速范围等静态和动态特性提出了更高的要求。当前由可编程序控制器(PLC)和微机组成的电梯运行逻辑控制系统具有可靠性高、维护方便、开发

六层电梯控制系统设计

六层电梯控制系统设计 指导教师:张强 2008级电气自动化专业学号 200812042 姓名杨海龙 摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以西门子公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。 关键词:PLC控制系统;电梯;逻辑控制电路;变频器

2 Six elevator control system design Tutor: Qiang Zhang Electric automation level2008 No.200812042 Name: Hailong Yang Abstract This text introduces the control system of a kind of elevator PLC.The elevator is perpendicular directional of the conveyance equipments be indispensable in the high building of transportation equipments.It depends electric power, dragging along to move a car that can carry person or thing and lead a track in the building of the well way up do perpendicularity to ascend and descend sport, there is prominent function in the people's life.And the control elevator circulate of the PLC system also has more and more high request, request to attain the movement purpose of "steady, quasi-, quick" of elevator movement.That system mainly from PLC, logic control the electric circuit constitute.Include an exchanges difference to tread electric motor among them, after the electric appliances, get in touch with a machine, route of travel switch and press button, give out light the indicator constitute and transducer for the control system of integral whole.The this machine control unit adoption carries on whole process a control to the machine by the programmable controller PLC of SIEMENS company. The whole system passes PLC, logic control the electric circuit is to the rise and fall of elevator;Add, decelerate;Even layer;Start, make to move a control.Its structure is simple and circulate an efficiency, even layer accuracy, be easy to comprehension and control. Key Words:The PLC controls system elevator;The logic controls;electric circuit transducer

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

最新四层电梯的自动控制系统及模拟系统设计

四层电梯的自动控制系统及模拟系统设计

陕西国防工业职业技术学院 SHAANXI INSTITUTE OF TECHNOLOGY 毕业设计说明书 题目四层电梯的自动控制系统及模拟系统

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段

保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

六层电梯的PLC控制系统设计

目录 摘要......................................................................... I Abstract .................................................................... II 第1章引言.. (1) 第2章功能需求分析 (3) 2.1 电梯的集选控制功能 (3) 2.2 电梯的楼层检测及平层功能 (3) 2.3 电梯门的控制功能 (3) 2.4 电梯的调速功能 (4) 2.5电梯的安保功能 (4) 第3章电梯控制系统的硬件设计 (5) 3.1可编程控制器(PLC)的选型 (5) 3.2其他主要器件的选型 (5) 3.2.1电机的选型 (5) 3.2.2变频器的选型 (6) 3.2.3开关器件的选型 (6) 3.3电气原理图的设计及工作原理 (7) 3.3.1主电路图的设计及工作原理 (7) 3.3.2电梯升降控制部分电路图设计及工作原理 (9) 3.3.3电梯门控制部分电路图设计及工作原理 (10) 3.4输入输出(I/O)口分配及PLC接线 (11) 第4章系统软件设计 (15) 4.1 软件设计思想及主程序流程图 (15) 4.2 电梯控制系统各环节梯形图设计 (16) 4.2.1 外部请求响应子程序 (16) 4.2.2 梯内请求信号响应子程序 (18) 4.2.3 当前层显示子程序 (18) 4.2.4 上升控制子程序 (19) 4.2.5 下降控制子程序 (19)

4.2.6 电梯门控制子程序 (20) 4.2.7 电梯升降控制子程序 (20) 第5章系统的仿真 (22) 第6章结论 (24) 致谢 (26) 参考文献 (27)

三层电梯PLC控制系统设计报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

第一章三层楼电梯自动控制 (4) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (5) 4.输入/输出端子接线图 (6) 5.运动形式分析 (6) 6.助记符 (11) 三.硬件配置设计 (15) 1. 电梯控制构成 (16) 2. 主电路 (16) 四.型号规格 (16)

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

基于PLC的六层电梯系统设计

电气及自动化课程设计报告题目:基于PLC的六层电梯系统设计 课程: PLC原理与应用 学生姓名: 学生学号: 年级: 14级 专业:自动化 班级: 2班 指导教师: 机械与电气工程学院制 2017年6月

目录 1课程设计的任务及要求 0 1.1课程设计的任务 0 1.2课程设计的要求 0 2设计的内容及主要功能 0 2.1设计内容 0 2.2主要功能 0 3 PLC概述 (1) 3.1 PLC的定义 (1) 3.2 PLC的基本结构 (1) 3.3 PLC工作原理 (3) 3.4 PLC编程语言 (3) 4 电梯简介 (4) 4.1 电梯结构 (4) 4.2 电梯控制要求 (6) 5 系统硬件选取 (7) 5.1 PLC的选取 (7) 5.2 系统I/O分配表 (8) 6 系统软件设计及仿真 (10) 6.1 初始化 (10) 6.2 内外呼信号的记忆与消除 (10) 6.3 平层信号 (11) 6.4 电梯上下行信号 (12) 6.5 自动及手动开关门信号 (13) 6.6 加减速信号 (14) 6.7 程序仿真 (16) 7设计总结 (19) 参考文献 (20)

基于PLC的六层电梯系统设计 机械与电气工程学院自动化专业 1课程设计的任务及要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六层电梯系统的设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)编程实现按下呼梯按钮时按钮指示灯亮; (2)编程实现电梯自动平层,加减速; (3)编程实现电梯到层后自动开门与自动关门; (4)运行过程中监控电梯所在楼层并显示在数码管上。 2设计的内容及主要功能 2.1设计内容 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别。PLC可靠性高,程序设计方便灵活。本设计在用PLC控制电梯进行上下行,开关门等动作。 2.2主要功能 (1)行车途中如遇呼梯信号时,顺向截车,反向不截车; (2)内选信号、呼梯信号具有记忆功能,执行后解除; (3)内选信号、呼梯信号、行车方向、行车楼层位置均有信号灯指示; (4)停层时可延时3s自动开门、手动开门、(关门过程中)本层顺向呼梯开门; (5)有内选信号时延时自动关门,关门后延时自动行车; (6)停层进人超重时进行报警不关门,行车过程超重不响应外呼信号; (7)集选控制,将所有呼梯信号集中进行分析比较选取最优路线行车。

六层电梯的PLC控制系统设计

六层电梯的PLC控制系统设计

目录

摘要 本文采用可编程控制器(PLC)代替传统的继电器接触控制,设计了六层电梯的控制系统。在功能需求分析的基础上,选用S7-200系列PLC(CPU226)作为控制器完成了系统的硬件系统的设计,利用编程软件Step7-Micro结合模块化的编程思想设计了电梯系统各环节的梯形图,并借助S7-200仿真软件对六层电梯控制系统进行了模拟仿真,给出了仿真结果图。仿真结果表明本设计实现了电梯运行的基本功能。本文设计的电梯控制系统提高了电梯的控制水平,克服了手动操作所带来的一些人为干扰因素,取得了良好的预期效果,也为高层电梯及电梯的群控技术提供了理论依据。 关键字:电梯,PLC 控制系统,硬件系统,梯形图,仿真

Abstract In this paper, the programmable controller (PLC) is used to replace the traditional relay contact control and the design of the control system for an elevator of six levels is introduced.On the basis of analysis of the functional requirements, S7-200 series PLC (CPU226) is selected as the controller to complete the system hardware design, the use of the programming software Step7-Micro designed the elevator system at all stages of the ladder with modular programming ideas, and the use of S7-200 simulation software for a six-story elevator control system simulation debugging, simulation results are given and the Fig simulation results show that the design of the basic functions of elevator running can be realized.In this paper, the design of elevator control system improves the control level of the elevator, and overcomes some human interference factors in manual operation, and has achieved good result. meanwhile it provides the theory basis for high-level elevator and the control technology of elevator group. Key words: elevator, PLC control system, hardware system,ladder diagram, simulation

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

相关主题
文本预览
相关文档 最新文档