当前位置:文档之家› 脉冲序列发生器设计

脉冲序列发生器设计

脉冲序列发生器设计
脉冲序列发生器设计

脉冲序列发生器设计 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

摘要脉冲序列检测器广泛应用于现代数字通信系统中,随着通信技术的发展,对多路脉冲序列信号检测要求越来越高。现代通信系统的发展方向是功能更强、体积更小、速度更快、功耗更低,大规模可编程逻辑器件FPGA器件的集成度高、工作速度快、编程方便、价格较低,易于实现设备的可编程设计,这些优势正好满足通信系统的这些要求。随着器件复杂程度的提高,电路逻辑图变得过于复杂,不便于设计。VHDL(VHSIC Hardware Description Language)是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言。VHDL具有极强的描述能力,能支持系统行为级、寄存器输级和门级三个不同层次的设计,实现了逻辑设计师多年来梦寐以求的“硬件设计软件化”的愿望,给当今电子通信系统设计带来了革命性的变化。

本文针对传统的脉冲序列检测器方案,提出了一种基于对脉冲序列检测器设计的新方案,该方案相对于传统的设计方法更适合于现代数字通信系统,不但大大减少了周边的设备,也使系统设计更加灵活,稳定性更好,性价比更高,可以满足多种环境下的检测系统的要求。

关键词:多路数据选择器、Multisim、计数器、序列检测器

目录

摘要 (1)

1目录 (1)

2. 设计内容及设计要求 (2)

实验目的 (3)

参考电路 (4)

实验内容及主电路图 (5)

多谐振荡器的介绍 (6)

计数器的介绍 (9)

数据分析 (12)

数据选择器的介绍 (14)

4实验结果 (16)

实验结果的分析 (17)

设计总结 (18)

致谢 (19)

参考文献 (20)

2设计内容及技术要求

1、设计并制作一个脉冲序列发生器,周期性的产生8位长度的任意脉冲序列,

脉冲序列可以通过设置电路自由设置。

2、能够检测出设置的脉冲序列,在每出现一次设置的脉冲序列时,点亮一次

LED;

3、时钟脉冲周期为1HZ;

4、对设置的脉冲序列值通过适当的方式进行指示;

5、电源:220V/50HZ的工频交流电供电;

6、(直流电源部分仅完成设计仅可,不需制作,用实验室提供的稳压电源调

试,但要求设计的直流电源能够满足电路要求)

7、按照以上要求设计电路,绘制电路图,对设计的的电路用Multisim或OrCAD/PspiceAD9进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。

发挥部分:

1、其他恰当的功能。

2.实验目的

通过本次设计,进一步熟悉多谐振荡器、计数器、数据选择器的用法,掌握脉冲序列发生器的设计方法。

3.参考电路

(1)设计方案

周期性脉冲序列发生器的实现方法很多,可以由触发器构成,可以由计数器外加组合逻辑电路构成,可以有GAL构成,也可以由CPLD\FPGA构成等等。

本设计采用由计数器加多路数据选择器的设计法案,脉冲序列发生器原理框图如(1)图所示。

图(1)脉冲序列发生器原理框图

(2)参考设计

脉冲序列发生器需要一个时钟信号,可采用由TTL非门和石英晶体振荡器构成的串联式多谐振荡器产生时钟信号,如图(2)所示。

主电路部分如图(3)所示,图中74LS161和与非门构成十二进制计数器,为脉冲序列的宽度为12位。

4.实验内容

按照实验要求设计电路,确定元器件型号和参数;用Multisim进行仿真,列出实验数据,画出输出信号及其他关键信号的波形;对实验数据和电路的工作情况进行分析,得出实验结论;写出收获和体会。

图(2)时钟信号产生电路

图(2)主电路图

主电路图(2)

多谢振荡器介绍

多谐振荡器是一种自激振荡电路。因为没有稳定的工作状态,多谐振荡器也称为无稳态电路。具体地说,如果一开始多谐振荡器处于0状态,那么它在0状态停留一段时间后将自动转入1状态,在1状态停留一段时间后又将自动转入0状态,如此周而复始,输出矩形波。

图对称式多谐振荡器电路

对称式多谐振荡器是一个正反馈振荡电路[图,]。和是两个反相器,和是两个耦合电容,和是两个反馈电阻。只要恰当地选取反馈电阻的阻值,就可以使反相器的静态工作点位于电压传输特性的转折区。上电时,电容器两端的电压和均为0。假设某种扰动使有微小的正跳变,那么经过一个正反馈过程,迅速跳变为,迅速跳变为,迅速跳变为,迅速跳变为,电路进入第一个暂稳态。电容和开始充电。的充电电流方向与参考方向相同,正向增加;的充电电流方向与参考方向相反,负向增加。随着的正向增加,从逐渐上升;随着的负向增加,从逐渐下降。因为经和两条支路充电而经一条支路充电,所以充电速

度较快,上升到时还没有下降到。上升到使跳变为。理论上,向下跳变,也将向下跳变。考虑到输入端钳位二极管的影响,最多跳变到。下降到使跳变为,这又使从

向上跳变,即变成

,电路进入第二个暂稳态。经一条支路反向充电(实际上先放电再反向充电),逐渐下降。经和两条支路反向充电(实际上先放电再反向充电),逐渐上升。的上升速度大于的下降速度。当上升到时,电路又进入第一个暂稳态。此后,电路将在两个暂稳态之间循环。

和一个耦合电容。反馈电阻使的静态工作点位于电压传输特性的转折区,就是说,静态时,的输入电平约等于,的输出电平也约等于。因为的输出就是的输入,所以静态时也被迫工作在电压传输特性的转折区。

图非对称是多

的矩形波。根据傅里叶分析理论,频率为的矩形波可以分解成无穷多个正弦波分量,正弦波分量的频率为(),如果石英晶体的串联谐振频率为,那么只有频率为的正弦波分量可以通过石英晶体(第个正弦波分量,),形成正反馈,而其它正弦波分量无法通过石英晶体。频率为

的正弦波分量被反相器转换成频率为矩形波。因为石英晶体多谐振荡器的振荡频率仅仅取决于石英晶体本身的参数,所以对石英晶体以外的电路元件要求不高。

计数器的介绍

在数字电路中,把记忆输人脉冲个数的操作称为计数,计数器就是实现计数操作的时序逻辑电路。计数器应用非常广泛,除用于计数、分频外,还用于数字测量、运算和控制,从小型数字仪表到大型数字电子计算机,几乎无所不在,是任何现代数字系统中不可缺少的组成部分。

计数器的种类很多,按其进制不同分为二进制计数器、十进制计数器、N进制计数器;按触发器翻转是否同步分为异步计数器和同步计数器;按计数时是增还是减分为加法计数器、减法计数器和加/减法(可逆)计数器。

1.集成二进制计数器

是4位二进制同步加法计数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能。的逻辑电路图和引脚排列图如图1所示,CR

是异步清零端,LD是预置数控制端,D

0,D

1

,D

2

,D

3

是预置数据输人端,P和T

是计数使能端,C是进位输出端,它的设置为多片集成计数器的级联提供了方便。

图1 74LSl61的逻辑电路图和引脚图(1)异步清零功能

当CR=0时,不管其他输人端的状态如何(包括时钟信号CP),4个触发器的输出全为零。

(2)同步并行预置数功能

在CR=1的条件下,当LD=0且有时钟脉冲CP的上升沿作用时,D

3,D

2

,D

1

D

0输入端的数据将分别被Q

3

~Q

所接收。由于置数操作必须有CP脉冲上升沿相配

合,故称为同步置数。

(3)保持功能

在CR=LD=1的条件下,当T=P=0时,不管有无CP脉冲作用,计数器都将保持原有状态不变(停止计数)。

(4)同步二进制计数功能

当CR=LD=P=T=1时,处于计数状态,电路从0000状态开始,连续输入16个计数脉冲后,电路将从1111状态返回到0000状态,状态表见表2。

(5)进位输出C

当计数控制端T=1,且触发器全为1时,进位输出为1,否则为零。

若输入计数器的CP脉冲频率为f,则从Qo端输出脉冲频率为f/2,通常也称Qo端输出信号是输人计数脉冲 CP的2分频信号,Q1端输出信号是输人计数脉冲CP的4分频信号,Q4端输出信号是输人计数脉冲CP的16分频信号。N进制计数器可实现n分频。

(6)74LS161应用集成四位二进制同步计数器74LS161是功能较完善的计数器,用它可

组成任意进制的计数器,组成方法有两种,一种叫反馈归零法,也叫复位法,另一种叫

置位发。本设计中所用的是第一种方法:复位法。

74LS161的时序图

表1 的功能表

表2 进制同步加法计数器的状态表

数据选择器介绍

集成电路数据选择器的功能

74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA ,可选择D 0~D 7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。其逻辑图和引脚图分别如下所示:

上面所讨论的是1位数据选择器,如需要选择多位数据时,可由几个1位数据选择器并联组成,即将它们的使能端连在一起,相应的选择输入端连在一起

2位8选1数据选择器的连接方法如下图所示。

当需要进一步扩充位数时,只需相应地增加器件的数目。

可以把数据选择器的使能端作为地址选择输入 ,将两片74LS151连接成一个16选1的数据选择器,其连接方式如下图所示。16选16选1的数据选择器的地址选择输入有4为4位,其最高位D与一个8选1数据选择器的使能端连

接,经过一反相器反相后与零一另一个数据选择器的使能端连接。低3位地址选择输入端CBA由两片74LS151的地址选择输入端相对应连接而成

74LS151真值表

2. 74LS151的应用

(1)用作多路数字选择开关

数据选择器本身的功能就是根据地址选择码从多路输入数据中选择一路输出。因此,数据选择器的基本用途就是用作多路数字开关,实现多数通信和路由选择。

(2)数据选择器的通道扩展

(3)实现组合逻辑函数

它是关于地址选择码的全部最小项和对应各路输入数据的与或型表达式。

5.实验结果

时钟信号产生电路波形

设计总结

课程设计是培养学生综合运用所学知识 ,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对我们的实际工作能力的具体训练和考察过程.

具体做了以下几项工作:

1.查找相关资料,了解EDA技术的发展及优点,同时详细分析了利用可编程逻辑器件来设计脉冲序列检测器的优势。

2. 简要分析了FPGA器件的特征和结构,详细介绍了Multissim设计流程,同时详细介绍了硬件描述语言及其特点。

3. 对序列检测器原理进行了详细的了解,并详细介绍了序列信号发生器、序列检测器及计数器的设计,最终完成设计的要求。

回顾起此次课程设计,至今我们仍感慨颇多,的确,自从拿到题目到完成整个编程,从理论到实践,在整整三周的时间里,可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我们懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高我自己的实际动手能力和独立思考的能力。希望我们以后能够在这方面做得更好,同时对以后有所帮助。

致谢

这次课程设计之所以能够顺利的完成,与老师的指导是密不可分的。老师能够在百忙之中抽出时间,在设计要求、设计内容及设计方法等方面给了我们很多指导,并提出了宝贵的见意。在此,我向老师师表示我最崇高的敬意及最忠诚的感谢!

主要参考资料

【1】潘松,黄继业 EDA技术使用教程(第三版)科学出版社2006

【2】邬杨波,王曙光,胡建平有限状态机VHDL设计及优化信息技术 2004(01)【3】刘欲晓 EDA技术与VHDL技术电子工业出版社

【4】鄢靖丰,陈晓黎,王平用Verilog-HDL设计序列检测器 2005(11)

【5】唐瑜,符兴吕,罗江用VHDL语寿实现序列信号的产生和检测 2008(09) 【6】蒋昊,李哲英基于多种EDA工具的FPGA设计流程[J].微计算机信息,2007【7】束礼宝,宋克柱,王砚方.伪随机数发生器的FPGA实现与研究[J].电路与系统学报,

SPWM脉冲发生器-方波变正弦波

摘要 本系统是一个SPWM脉冲发生器。整个系统是以单片机AT89c51为核心,通过编程产生低频波信号,再通过LM358放大电路和滤波电路将波形信号进行处理,最后由示波器显示波形,而波形频率可以通过按键来改变,并且将波形的模式和修改的频率通过LCD12864显示,以便了解当前波形模式和所修改后的频率。经试验测试,系统满足设计的基本要求,而且系统的电路结构简单,优越性强。 关键字:SPWM脉冲发生器单片机AT89c51波形信号LM358放大电路滤波电路 Abstract This system is a SPWM pulse generator. The whole system is chip-computer AT89c51, through the programming produce low-frequency waveform signal, again through the amplifier circuit LM358 and filter circuit will waveform signal processing, the last oscilloscope display, but by the frequency changes through the button to revise the present wave frequency, and between the mode and modification of the wave frequency through the LCD12864 shows, in order to understand the revised model and frequency waveforms. After the test, this system meet the design requirement, system structure is simple, the circuit strong superiority. Key word: SPWM pulse generator single-chip microcomputer AT89c51 waveform signal LM358 amplifier circuit filter circuit

秒脉冲发生器

设计题目:秒脉冲发生器的设计 设计小组:第三组

1 秒脉冲发生器整体设计方案 1.1秒脉冲发生设计方案概述 秒脉冲发生器是由100HZ时钟产生电路和分频电路两部分构成,其中100HZ时钟产生电路主要由555定时器组成的时钟电路,主要用来产生100HZ的脉冲信号;分频电路主要由74LS192组成的100进制计数器电路,主要用于将100HZ 脉冲信号分成1HZ脉冲信号。该方案通过了Multisim软件仿真,并得到了1HZ的脉冲信号,基本实现了工程训练的要求。

1.2 秒脉冲发生器整体设计电路设计图 图1 秒脉冲发生器整体设计电路设计图1.3 秒脉冲发生器整体设计电路仿真图 图2 秒脉冲发生器整体设计电路仿真图

2 各分电路的元件介绍及设计方案 2.1 100HZ时钟产生电路 图3 100HZ时钟产生电路 2.1.1元件介绍 555芯片引脚图及引脚描述: 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。

m序列发生器设计实现

河南师范大学设计性实验报告 学期:2014-2015学年第 1 学期 m序列发生器设计实现_实验 实验小组成员: 班级:2013级网络工程班 学院:计算机与信息工程学院 填表日期: 2014年 11月 29 日

实验项目简介: 1 问题描述 通常产生伪随机序列的数字电路为一反馈移位寄存器。根据其构成结构,它又分为线性反馈移位寄存器和非线性反馈移位寄存器两类,由线性反馈移位寄存器产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,简称m序列。 2.实验原理: 此实验是用4位移位寄存器实现可控乘/除法2到8步长为2n电路通过分析不难看出本次实验的乘除法运算中一个只出现三个数字2、4、8写成二进制为0010、0100、1000可以发现每一次乘法都只是将1向左移一个位每一次除法则是向右移一位,那么就可以使用74194双向移位寄存器。首先要了解4位移位寄存器。工作原理:74194是一个4位双向移位寄存器。它具有左移、右移、并行输入数据、保持以及清除等五种功能: 当~R=1MA MB=00 MA MB=01 MA MB=10 MA MB=11 3.一个完整的系统应具有以下功能: 1)控制信号的移动方向,通过改变S1S0的编码状态,使移位器左移、右移、保持等。 2)可以得到m序列的周期,通过观察示波器中CLK与Sl或者Sr的波形,可以得出m序列的周期。 4.实验目的: 1、掌握M序列信号产生的基本方法 2、利用EWB产生M序列信号,设计电路做成M序列信号发 5.实验条件:学院提供公共机房,1台/学生微型计算机。

实验总结: 1.在实验的过程中,小组成员积极准备。通过实验加深了对74194芯片性能的 了解,提高了各个成员的动手能力。 2.但是由于知识掌握不够全面准确的原因,实验过程中多次出现问题,小组成 员积极思考,最终解决了问题。 3.在观察m序列周期的过程中,出现了周期同预期不符合的情况,最终发现 是输入脉冲时出现了问题。

序列信号发生器分析

华南师范大学实验报告 学生姓名林竞浩李瑜贤学号20102804016 专业多媒体与网络技术年级、班级2010级4班 课程名称模拟电路与数字电路实验项目555定时器的应用 实验类型□验证□设计□综合实验时间2011年月日 实验指导老师实验评分 一、实验目的 1 学会构建序列发生器的基本方法 2掌握对序列信号发生器序列信号的测试分析方法。 二、实验仪器 安装有Multisim10软件的个人电脑 三、实验原理 序列信号器产生序列信号,有多种方法。本实验采用计数器和数据选择器构成发生。图一中四位二进制同步计数器74S163状态输出端QC,QB,QA输出的数据,送入8选1数据选择器74S151的地址输入端ABC,需要获取产生的序列信号接至数据选择器74S151数据输入端D0-D7,数据从Y或W端输出,实验电路原理图如下

四、实验步骤 1设定产生周期为00010111序列信号。 2打开电脑Multisim10操作平台,从TTL元件库中取出74S163,74S151,显示器件库中取下带译码器的数码管及探针等器件,以及逻辑分析仪,按实验电路图连接好。 3设定时钟信号发生器V1的频率为100HZ .调整好实验电路后,数码管有0-7计数显示,探针有闪动。 4双击打开逻辑分析仪工作界面,以备测试波形。调整逻辑分析仪时钟源为外同步。正常后,观察数码管,探针,逻辑分析仪波形的变化,把相关数据填入表1中 输入时钟脉冲计数器输出逻辑指示灯数码管显示 QC QB QA Y 0 0 0 0 N 0 1 0 0 1 N 1 2 0 1 0 N 2 3 0 1 1 Y 3 4 1 0 0 N 4 5 1 0 1 Y 5

脉冲序列发生器设计

脉冲序列发生器设计 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

摘要脉冲序列检测器广泛应用于现代数字通信系统中,随着通信技术的发展,对多路脉冲序列信号检测要求越来越高。现代通信系统的发展方向是功能更强、体积更小、速度更快、功耗更低,大规模可编程逻辑器件FPGA器件的集成度高、工作速度快、编程方便、价格较低,易于实现设备的可编程设计,这些优势正好满足通信系统的这些要求。随着器件复杂程度的提高,电路逻辑图变得过于复杂,不便于设计。VHDL(VHSIC Hardware Description Language)是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言。VHDL具有极强的描述能力,能支持系统行为级、寄存器输级和门级三个不同层次的设计,实现了逻辑设计师多年来梦寐以求的“硬件设计软件化”的愿望,给当今电子通信系统设计带来了革命性的变化。 本文针对传统的脉冲序列检测器方案,提出了一种基于对脉冲序列检测器设计的新方案,该方案相对于传统的设计方法更适合于现代数字通信系统,不但大大减少了周边的设备,也使系统设计更加灵活,稳定性更好,性价比更高,可以满足多种环境下的检测系统的要求。 关键词:多路数据选择器、Multisim、计数器、序列检测器 目录 摘要 (1) 1目录 (1) 2. 设计内容及设计要求 (2) 实验目的 (3)

参考电路 (4) 实验内容及主电路图 (5) 多谐振荡器的介绍 (6) 计数器的介绍 (9) 数据分析 (12) 数据选择器的介绍 (14) 4实验结果 (16) 实验结果的分析 (17) 设计总结 (18) 致谢 (19) 参考文献 (20) 2设计内容及技术要求 1、设计并制作一个脉冲序列发生器,周期性的产生8位长度的任意脉冲序列, 脉冲序列可以通过设置电路自由设置。 2、能够检测出设置的脉冲序列,在每出现一次设置的脉冲序列时,点亮一次 LED; 3、时钟脉冲周期为1HZ; 4、对设置的脉冲序列值通过适当的方式进行指示; 5、电源:220V/50HZ的工频交流电供电; 6、(直流电源部分仅完成设计仅可,不需制作,用实验室提供的稳压电源调 试,但要求设计的直流电源能够满足电路要求)

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

三位二进制加法计数器、序列信号发生器的设计、用集成芯片设计一个256进制加法计数器

目录 1课程设计的目的与作用 (1) 2设计任务 (1) 2.1同步计数器 (1) 2.2序列信号发生器 (1) 3设计原理 (1) 3.1同步计数器 (1) 3.1.1加法计数器 (2) 3.1.2减法计数器 (2) 3.1.3用集成芯片设计一个256进制的加法器 (2) 3.2序列信号发生器 (3) 4实验步骤 (3) 4.1同步计数器 (3) 4.1.1加法计数器 (4) 4.1.2减法计数器 (7) 4.1.3用集成芯片设计一个256进制的加法器 (10) 4.2序列信号发生器 (11) 5设计总结与体会 (14) 6参考文献 (15)

1课程设计的目的与作用 1.了解同步计数器及序列信号发生器工作原理; 2.掌握计数器电路的分析,设计方法及应用; 3.掌握序列信号发生器的分析,设计方法及应用; 2设计任务 2.1同步计数器 1.使用设计一个循环型3位2进制加法计数器,其中无效状态为(001,010),组合电路 选用与门和与非门等。 2.根据自己的设计接线。 3.检查无误后,测试其功能。 2.2序列信号发生器 1.使用设计一个能循环产生给定序列的序列信号发生器,其中发生序列(1000001),组 合电路选用与门和与非门等。 根据自己的设计接线。 2.检查无误后,测试其功能。 3设计原理 3.1同步计数器 (1)计数器是用来统计输入脉冲个数电路,是组成数字电路和计算机电路的基本时序逻辑部件。计数器按长度可分为:二进制,十进制和任意进制计数器。计数器不仅有加法计数器,也有减法计数器。如果一个计数器既能完成累加技术功能,也能完成递减功能,则称其为可逆计数器。在同步计数器中,个触发器共用同一个时钟信号。 (2)时序电路的分析过程:根据给定的时序电路,写出各触发器的驱动方程,输出方程,

可编程脉冲信号发生器的设计说明

可编程脉冲信号发生器的设计 摘要 基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。 本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。 本课题设计所要达到的指标要求: (1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。 (2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。 (3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。 关键词:单片机,脉冲信号,频率,脉冲个数,占空比

Programmable pulse signal generator design ABSTRACT The programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer. The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD. The requirements of this topic design: (1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen. (2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen. (3)Pulse duty ratio is adjustable and can be displaied on the specify

序列信号发生器和序列信号检测器

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 实验三序列信号检测器设计 (一)实验目的 1.进一步熟悉PH-1V型实验装置和QuartusⅡ软件的使用方法; 2.学习有限状态机法进行数字系统设计; 3.学习使用原理图输入法进行设计。 (二)设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在PH-1V型EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1.先用原理图输入法设计0111010011011010序列信号发生器; 2.其最后8BIT数据用LED显示出来; 3.再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列 “11010”则输出为“1”,否则输出为“0”; (三)主要仪器设备 1.微机 1台 2.QuartusII集成开发软件1套 3.PH-1V型EDA实验装置1套 (四)实验总体设计 本实验要求先设计一个信号发生器,采用原理图设计方法,要求产生 0111010011011010序列,16位,便可采用74161计数器和74151选择器,161计数输出QD,QC,QB,QA从0000计至1111,然后将161计数输出低三位QC,QB,QA分别接到151的C,B,A端,高位QD用来控制151两片的片选,即两片151分别实现序列的高八位和低八位的输出。最后将二片151的输出相或便可得到最后要产生的序列。 序列检测器即为一个状态机,首先画出状态转移图,根据状态转移图设计 出序列检测器,当检测到预置的序列,则RESULT输出1,否则输出0 (五)实验重难点设计 1. 用原理输入法设计序列信号发生器 (1)打开Quartus II软件,进入编辑环境。 (2)创建新的原理图BDF文件,命名为FASHENGQI,根据其总体设计思路设计 出如下原理图:

脉冲序列发生器设计

XX学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级: XX班 学生姓名:XX(XX) 指导教师:XX 完成时间:XX 年X月X日 报告成绩:

脉冲序列发生

器 设 计 目录 1.实验任务 2.实验目的

3.参考电路 (1)设计方案 (2)参考设计 4.实验内容 (1)多谢振荡器介绍 (2)计数器的介绍 5.实验结果 6.心得体会 7.参考文献 (1)《电子技术课程设计指导书》 (2)《电子技术基础》

1.实验任务 设计并制作一个脉冲序列发生器,周期性的产生脉冲序列101011010101。 2.实验目的 通过本次设计,进一步熟悉多谐振荡器、计数器、数据选择器的用法,掌握脉冲序列发生器的设计方法。 3.参考电路 (1)设计方案 周期性脉冲序列发生器的实现方法很多,可以由触发器构成,可以由计数器外加组合逻辑电路构成,可以有GAL构成,也可以由CPLD\FPGA构成等等。本设计采用由计数器加多路数据选择器的设计法案,脉冲序列发生器原理框图如(1)图所示。 图(1)脉冲序列发生器原理框图 (2)参考设计

脉冲序列发生器需要一个时钟信号,可采用由TTL非门和石英晶体振荡器构成的串联式多谐振荡器产生时钟信号,如图(2)所示。 主电路部分如图(3)所示,图中74LS161和与非门构成十二进制计数器,为脉冲序列的宽度为12位。 4.实验内容 按照实验要求设计电路,确定元器件型号和参数;用Multisim进行仿真,列出实验数据,画出输出信号及其他关键信号的波形;对实验数据和电路的工作情况进行分析,得出实验结论;写出收获和体会。

脉冲信号发生器的使用方法

脉冲信号发生器的使用方法 脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲 信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般 都以矩形波为标准信号输出。脉冲信号发生器的种类繁多,性能各异,但 内部基本电路应包括主振级一般由无稳态电路组成,产生重复频率可调的周期 性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主 振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路 组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对 脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉 冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器 使输出的脉冲信号幅度可调。 如(1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①频率粗调开关和频率细调旋钮。调节频率粗调开关和频率细调旋钮, 可实现1kHz~100MHz的连续调整。粗调分为十挡 (1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz 和100MHz),用细调覆盖。频率细调旋钮顺时针旋转时频率增高,顺时针旋转 到底,为频率粗调开关所指频率;逆时针旋转到底,为此频率粗调开关所指刻 度低一挡。例如,频率粗调开关置于10kHz挡,频率细调旋钮顺时针旋转到底 时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②延迟粗调转换开关和延迟细调旋钮。调节此组开关和旋钮,可实现延 迟时间5ns~300,tts的连续调整。延迟粗调分为十挡 (5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输

脉冲磁共振

FD-PNMR-Ⅱ型 脉冲核磁共振实验仪 实 验 指 导 书 华北煤炭医学院物理教研室 用脉冲核磁共振实验仪测弛豫时间 一、实验目的。

1.通过观察脉冲宽度与FID信号幅度及相位的关系。掌握90度脉冲180度脉冲的含义。 2.通过对自旋回波序列的调试,了解相位散失的机理,180度脉冲的作用,相位重聚和自旋回波的原理,T2的含义,掌握用基本脉冲序列测量样品的弛豫时间T2的方法。 3.测量二甲苯的化学位移间隔,了解谱仪的工作原理。 二.试验仪器: FD-PNMR-II 脉冲核磁共振谱仪、YB4323长余辉示波器以及PII 300MHz 联想计算机。脉冲核磁共振实验系统,包括磁铁、探头、开关放大器、相位检波器、脉冲序列发生器、磁场电源、示波器、计算机等。如图 1 1.探头:放置样品并产生脉冲核磁共振信号 2.脉冲序列发生器:产生各种脉冲序列 3.开关放大器:开关放大器是射频切换开关。在旋转射频场加载时将射频线圈与射频脉冲连接,此时射频脉冲与相位检波器内的放大器断开。在观察自由旋进信号时将射频线圈与相位检波器的放大器相连。这样可以避免大功率脉冲烧毁放大器和自由旋进信号观察困难。 4.相位检波器:相位检波器在电子学中是将采集困难的高频信号转变成容易采集的低频信号。在核磁共振中它的作用就是将实验室坐标系转变为旋转坐标系,这样保证每次激发信号的相位是一致的,从而能够得到成像所必需的相位精度。它的基本原理是将原有的信号

t t A 1cos )(ω乘上参考信号t 0cos ω得到和频和差频, t t A t t A t t t A )cos()()cos()(cos cos )(010101ωωωωωω++-= 和频项在调制时采用在这里无用,通过积分器或低通滤波器即可将其滤除,得到差频项以便于信号处理。如图2 图2 相位检波器的工作原理 5.磁体 磁极直径100mm 、磁极间隙15-20mm 。 6.示波器:因为信号重复周期长所以存在严重的闪烁现象,一般采用长余辉的慢扫描双踪示波器以减轻闪烁现象,或采用计算机软件记录所以直接在计算机上观测。 实验一脉冲核磁共振法测量弛豫时间 一、试验原理 1.自旋回波90度射频脉冲的作用:使宏观净磁矩倾倒90度。 2.相位散失:在磁场不均匀情况下每个点的共振频率各不相同,所以在90o 脉冲激发后各点共振信号的初相位相同信号最大,但随时间增加相位因为共振频率不同差距逐渐加大,当 达到信号互相抵消的时候,FID 信号消失,一般称相位散失的时间称为T 2*,信号近似) exp(*2 T t 衰减。 3.相位重聚和自旋回波: 90o 脉冲经τ时间后加180o 脉冲,可以使散失的相位重聚。 过程是:90o 脉冲后由于共振频率不同经过一段时间频率高的原子核相位超前,共振频率低的原子核相位落后,加载180o 脉冲后使得原子核磁矩旋进相位产生180o 跳变,它使得原先落后的相位超前,原先超前的相位落后,经过同等时间后共振频率高的原子核又追上落后的相位从

秒信号发生器

一、硬件电路设计 (1)复位电路 复位是使单片机处于某种确定的初始状态。单片机工作从复位开始。在单片机RST引脚引入高电平并保持2个机器周期,单片机就执行复位操作。复位操作有两种基本方式:一种是上电复位,另一种是上电与按键均有效的复位。如图1所示为复位电路: 图1复位电路 开机瞬间RST获得高电平,随着电解电容C3的充电,RST引脚的高电平将逐渐下降。若该高电平能保持足够2个机器周期,就可以实现复位操作。根据经典电路选择参数,选取C3=10μF,R1=10KΩ。 (2)晶振电路 单片机的时钟信号通常有两种产生方式:一是内部时钟方式,二是外部时钟方式。内部时钟方式是利用单片机内部的振荡电路产生时钟信号。外部时钟方式是把外部已有的时钟信号引入到单片机内。本次设计中,采用的是12MHz晶振,配上30pF的电容,构成谐振,这样有助于输出稳定的波形。图2所示为晶振电路: 图2晶振电路

在单片机的XTAL1和XTAL2引脚外接石英晶体(简称晶振),作为单片机内部振荡电路的负载,构成自激振荡器,可在单片机内部产生时钟脉冲信号。C1和C2的作用是稳定振荡频率和快速起振。根据经典电路选择参数,本电路选用晶振12 MHz,C1=C2=33PF。其中晶振周期(或外部时钟信号周期)为最小的时序单位。 (3)串口调试电路 二、程序设计 程序思路说明:只需要4个按键。关于频率和占空比的确定,对于12M晶振,输出频率为1KHZ,这样定时中断次数设定为 10,即10MS 中断一次,则TH0=FF,TL0=F6;由于设定中断时间为10ms,这样可以设 * *定占空比可从1-99%变化。即10ms*100=1s #include #define uchar unsigned char #define uint unsigned int uchar timer0_tick,ZKB=1;//timer0_tick计数,ZKB占空比 uchar i=0,n=0,temp=0; code seven_seg[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; //1,2,3, 4, 5, 6, 7, 8, 9 code scan[2]={0xfd,0xfe}; uchar counter[2]={0,0}; sbit AN1=P3^2;//调整个位 sbit AN2=P3^3;//调整十位 sbit AN3=P3^4;//启动按键

脉冲信号发生器与计数器

中南林业科技大学涉外学院 认识实习报告 名称:脉冲信号发生器与计数器 姓名学号: 系:理工系专业:电子信息工程班级:实习时间:实习地点:

目录 一、题目 二、任务和要求 三、内容 (1)如何用仪表测量 (2)如何焊接 (3)如何调试 四、结论 五、体会和收获

一、题目脉冲信号发生其与计数器 二、任务和要求 1:焊接电路板 2:装配电阻、安装短路线、装配芯片、装配按键S、装配电容、装配发光二极管、安装电源插座、测试 三、内容 (1)安装好后,目测检查,是否焊接好了。插入电源线,电源线额另一端接电源,一定不要接错了,印刷板上标有+的一端接电源+5V,另一端接 地。千万注意,电源不要接错了。打开电源,测试电源电压,测试芯片 上各脚电压。按下按键,试着短按和长按,观察现象。测试各发光二极 管(有亮的也有不亮的都测)的电压。短按时,每次产生一个脉冲,观 察到由发光二极管显示的二进制数加一。长按时,产生连续脉冲,观察 到由发光二极管显示的二进制数连续累加。 (2)加热焊件;移入焊锡;焊锡融化后,移开焊锡;移开电烙铁。注意掌握好时间,焊接好后,剪去焊盘外的导线 (3)打开电源,测试电源电压,测试芯片上各脚电压。按下按键,试着短按和长按,观察现象 四、结论 利用集成定时器(芯片 NE555)产生信号,当按键被单次单次地按下时,产生一个一个的单脉冲信号;当按键按下不动时,产生连续脉冲信号。可利用集成技术器(芯片4024)计数。其状态反映脉冲的个数。利用发光二级管显示已经计数的脉冲数,其中74LS04是驱动电路。 五、体会和收获 经过这次实习,了解到了如何焊接电路板、焊接电路板所需注意的事项、认识各种原配件和如何检测焊成后的电路板,同时培养了自己的动手能力和对电路这门课程的认知

设计序列信号发生器

数码电子学实验 设计序列信号发生器 报告人:XXX 一.具体要求 要求用D触发器和门电路设计一个产生1101001序列(序列左边先输出)的序列发生器。

二.实验目的 1.熟悉原理图输出法; 2.了解可编程器件的实际应用。 三.实验准备 1.详解D 触发器 ①电路组成 为了避免同步RS 触发器同时出现R 和S 都为1的情况,可在R 和S 之间接入非门G1,如图1所示,这种单输入的触发器称为D 触发器。图2为其逻辑符号。D 为信号输入端。 图1:D 触发器逻辑图 图2:D 触发器逻辑符号 ②逻辑功能 在CP=0时,G2,G3被封锁,都输出1,触发器保持原状态不变,不受D 端输入信号的控制。 在CP=1时,G2,G3解除封锁,可接收D 端输入的信号。如1=D 时,0=D ,触发器翻到1状态,即Q n+1=1,如0=D 时,1=D ,触发器翻到0状态,即Q n+1=0,由此可列出表1所示同步D 触发器的特性表。 表1:同步D 触发器特性表 D Q n Q n+1 说明 0 0 0 输出状态和D 相同 0 1 0 输出状态和D 相同 1 0 1 输出状态和D 相同 1 1 1 输出状态和D 相同 由上述分析可知,同步D 触发器的逻辑功能如下: 当CP 由0变为1后,触发器的状态翻到和D 的状态相同; 当CP 由1变为0后,触发器保持原状态不变。 ③D 触发器的名词来源 D 触发器不会发生RS 触发器不确定的情形(S=1,R=1),也不会发生JK 触发器的追跑情况(J=1,K=1),那么为什么成为D 触发器呢?因为输出Q 等于输入D ,但是要经过一个CLOCK

秒信号发生器电路图两个

秒信号发生器电路图两个 秒信号发生器: 下面介绍的秒信号发生器可用在LED数字钟中,为数字钟提供秒基准信号。字串7 附图1电路由14位二进制串行计数器/分频器和振荡器 CD4060、BCD同步加法计数器CD4518构成的秒信号发生器。 电路中利用CD4060组成两部分电路。一部分是14级分频器,其最高分频数为16384;另一部分是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz的振荡器。震荡器输出经14级分频后在输出端Q14上得到1/2秒脉冲并送入由1/2 CD4518构成的二分频器,分频后在输出断Q1上得到秒基准脉冲。 检验电路是否工作,可测量CD4060的9脚有无振荡信号输出。调整微调电容可校准振荡频率。 附图2是另一款秒信号发生器电路。它由双BCD同步加计数器CD4518、四输入端与非门CD4011和四2输入端或非门CD4001等构成。 电路中利用CD4060组成两部分电路。一部分是14级分频器,

其最高分频数为16384;另一部分是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz的振荡器。震荡器输出经14级分频后在输出端Q14上得到1/2秒脉冲并送入由1/2 CD4518构成的二分频器,分频后在输出断Q1上得到秒基准脉冲。 检验电路是否工作,可测量CD4060的9脚有无振荡信号输出。调整微调电容可校准振荡频率。 电路中,由CD4011门I构成晶体振荡电路产生的1MHz脉冲信号,经反相器门II送至由CD4518构成的多级计数分频器。其中第一级10分频后输出为100KHz,第二级输出为10KHz,第三级输出为1000Hz,第四级输出为100Hz、第6级输出为1Hz。 由CD4011的门III、IV构成R-S触发器和CD4001的一个门组成了秒信号控制门。单允许工作开关K3置“开”位置时,允许输出秒信号;置“关”位置时,禁止输出秒信号。走时、校准开关K2置“走时”位置时,输出秒信号;置“校准”位置时,输出校准信号。若秒信号与标准时间相差较大,把K1置“快校”位置,送出10KHz信号;若接近标准时间,则置“慢校”位置,送出100Hz信号。

如何正确使用脉冲信号发生器

如何正确使用脉冲信号发生器 脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns(纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ① “频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现 1kHz~100MHz的连续调整。粗调分为十挡(1kHz、 3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于 10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、 300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③ “脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs的连续调整。“脉宽”粗调分为十挡(5ns、10ns、 30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。

利用Quartus设计顺序脉冲发生器

Quartus II实验 (顺序脉冲发生器,序列信号的产生和检测) 实验目的 (1)熟悉Quartus II 软件的使用方法,了解硬件描述语言VHDL (2)练习使用软件仿真的方法,设计数字逻辑电路 (3)掌握基于FPGA实现数字系统过程 (4)学习用功能仿真和时序仿真波形测试所设计的系统 实验原理 一、现代数字系统的设计方法 随着可编程器件的出现和计算机技术的发展,使EDA 技术得到了广泛应用,设计 方法也因此发生了根本性的变化。由传统的“自底向上”的设计方法转变为一种新 的“自顶向下”设计方法,其设计流程如下:第一步,进行行为设计,确定电子系 统或ASIC 芯片的功能、性能及允许的芯片面积和成本等。第二步,进行结构设计, 根据电子系统或芯片的特点,将分解为接口清晰、相互关系明确、尽可能简单的子 系统,得到一个总体结构。第三步,把结构转化为逻辑图,即进行逻辑设计。在这 一步中,希望尽可能采用规则的逻辑结构或采用已经经过验证的逻辑单元或模块。 第四步,进行电路设计,将逻辑图转化为电路图。最后一步是进行ASIC 的版图设 计,即将电路转换成版图,或者用可编程ASIC 实现。 二、FPGA的特点及设计软件 FPGA通称为可编程逻辑器件,它们都是在PLA ,PAL 和GAL等逻辑器件的基础上发展 起来的,主要有Altera公司和Xilinx公司生产的系列产品,比起早期的可编程逻辑器 件来,它们容量更大,速度更快。许多生产可编程器件的公司为了推广它们产品都有 自已的开发软件,其中应用较广的有Altera 公司的MAX+pluaII软件,它有以下主要优 点: (1) 开放的接口; (2) 与结构无关的设计开发环境,具有强大的逻辑综合与优化功能; (3) 支持各种HDL输入选项, 支持VHDL 硬件描述语言; (4) 全集成化的输入开发环境; (5) 丰富的设计库资源; (6)支持原理图,文本和波形等多种输入方法; (7)有丰富的在 线帮助功能。因此特别适合初学者使用,可作为数字电路系统的设计和开发软件。 在指导学生进行课程设计时,应先学习MAX+pluaII软件和VHDL 语言的编程方 法,掌握CPLD/FPGA 实验开发系统的使用。

脉冲发生器工作原理

脉冲发生器工作原理 泥浆流动引起叶轮在其外部旋转。叶轮和脉冲发生器内部的主轴含有强力磁铁。叶轮与主轴之间的磁耦合运动产生两者间的磁力吸引。当叶轮在脉冲发生器外部旋转时,主轴则由于磁耦合作用在脉冲发生器内部旋转。 这是叶轮,这是主轴。把主轴伸入到叶轮里,来讲述这种磁耦合的强度。当试图转动主轴时,而主轴依然粘附在叶轮上。想转到主轴是非常困难的,磁耦合作用是相当强的。 脉冲发生器是一个充满油的密封单元。任何外部压力,象静水压力,可以通过这种活动的橡胶皮囊传递到脉冲发生器内部,或者对于没有橡胶皮囊的脉冲发生器,它是通过这个壳体里的活塞传递的。脉冲发生器内部与外部的压力是平衡的。由于脉冲发生器总与它周围的环境处于压力相等的状态,这样它不易损坏。压力平衡是由脉冲发生器的小直径促成的。脉冲发生器的壁较薄,能够承受足够的机械载荷,由于内外压力平衡,不必承受外部压力。 脉冲发生器内含有一个液压泵,液压泵是由六个柱塞和液缸组成。这六个柱塞随着其下端旋转斜盘的转动,在液缸内交替上下运动。通过六个柱塞的交替运动,把泵下端腔里的油,通过一组单流阀泵入到提升阀活塞液缸里。 这是活塞。在产生脉冲过程中,活塞被向上推入液缸里,使提升阀轴伸出。当活塞向上运动时,打开了液缸壁上的一组小孔,使液流回到液缸里,因此起到限制活塞继续运动和降低内部压力。 在主轴的下端是电磁发电机。它是由六个固定的线圈和八个磁极构成,当主轴旋转时,带动其下端的磁极相对线圈转动,线圈内磁场的变化从而产生电流。 主轴的旋转速度控制液压和产生电量的大小。主轴转动越快,产生电量越大。通常主轴的转速为2800rpm~3500rpm。 现在讲解更复杂的部件。我们怎样控制提升阀轴的运动? 首先,当提升阀轴向下回缩时,让我们描述其液压油流的流动方向。(驱动活塞向上运动时)油从泵下面的腔中直接进入泵里,并通过泵和其出孔进入到活塞缸里。然而回缩活塞时(提升阀向下运动),油顺着中心管向下流入到主阀里。 主阀内部有一个带小孔的活塞,允许一部分油直接流过主阀。流过主阀的油通过中心管向下继续流动,最终流过一个电磁控制阀,然后进入到电磁控制阀下

相关主题
文本预览
相关文档 最新文档